• Title/Summary/Keyword: High level synthesis

Search Result 397, Processing Time 0.025 seconds

DAMUL : High-level synthesizer for ASIC design (DAMUL : ASIC 설계용 상위레벨 합성기)

  • 김기현;정정화
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.32A no.8
    • /
    • pp.166-176
    • /
    • 1995
  • This paper presents a new high-level synthesizer for ASIC designs using ASIC library or FPGAs. DAMUL defines the VHDL description for a specified hardware and allocate some VHDL codes, which describe the behavioral specification, to the corresponding hardware before the synthesis. The interconnections are implemented by the multiplexers, and the objective of allocation is the minimization of the number of multiplexers. Also, the dedicated registers is used for global variables, in order to implement the other necessary registers as well as status and control registers. The effectiveness of the proposed system is shown by the synthesis results of benchmark circuits.

  • PDF

An Efficient Scheduling Technique for High Level Synthesis under Timing Constraints (시간 제약 조건하에서 상위 수준 합성을 위한 효율적인 스케줄링 기법)

  • Kim, Ji-Woong;Jeong, Woo-Seong;Shin, Hyun-Chul
    • Proceedings of the IEEK Conference
    • /
    • 2008.06a
    • /
    • pp.453-454
    • /
    • 2008
  • Modern VLSI designs get increasingly complex and time-to-market constraints get tighter. Using high level languages is one of the most promising solutions for improving design productivity by raising the level of abstraction. In high level synthesis process, most important step is scheduling. In this paper, we propose fast and efficient scheduling method under timing constraint based on list scheduling. Experimental results on well known data path intensive designs show fast execution times (less than 0.5 sec) and similar results when compared to optimal solutions [1].

  • PDF

A Study on the area minimization using general floorplan (종합평면을 사용한 면적 최적화에 관한 연구)

  • 이용희;정상범이천희
    • Proceedings of the IEEK Conference
    • /
    • 1998.10a
    • /
    • pp.1021-1024
    • /
    • 1998
  • Computer-aided design of VLSI circuits is usually carried out in three synthesis steps; high-level synthesis, logic synthesis and layout synthesis. Each synthesis step is further kroken into a few optimization problems. In this paper we study the area minimization problem in floorplanning(also known as the floorplan sizing problem). We propose the area minimization algorithms for general floorplans.

  • PDF

High level test generation in behavioral level design for hardware faults detection (하드웨어 고장 검출을 위한 행위레벨 설게에서의 테스트패턴 생성)

  • 김종현;윤성욱;박승규;김동욱
    • Proceedings of the IEEK Conference
    • /
    • 1998.06a
    • /
    • pp.819-822
    • /
    • 1998
  • The high complexity of digital circuits has changed the digital circuits design mehtods from schemeatic-based to hardware description languages like VHDL, verilog that make hardware faults become more hard to detect. Thus test generation to detect hardware defects is very important part of the design. But most of the test generation methods are gate-level based. In this paper new high-level test generation method to detect stuck-at-faults on gate level is described. This test generation method is independent of synthesis results and reduce the time and efforts for test generation.

  • PDF

Influence of Chicken Embryo Extract on Protein Synthesis of Chicken Embryo Myoblasts Depends on Cell Density

  • Kita, K.;Hiramatsu, K.;Okumura, Jun-ichi
    • Asian-Australasian Journal of Animal Sciences
    • /
    • v.11 no.6
    • /
    • pp.713-717
    • /
    • 1998
  • The synergistic effect of fetal calf serum (FCS) and chicken embryo extract (CEE) on protein synthesis of chicken embryo myoblasts was examined. Myoblasts were derived from chicken embryo cultured for 14 days by trypsin digestion and cultured in 5% $CO^2/95%$ air at $37^{\circ}C$. When myoblasts were cultured at the low level of cell density (20-50% of well), CEE enhanced the ability of FCS to stimulate protein synthesis of myoblasts. However, there was no significant effect of CEE to stimulate protein synthesis of myoblasts cultured at high level of cell density (100% of well).

FPGA-Based Post-Quantum Cryptography Hardware Accelerator Design using High Level Synthesis (HLS 를 이용한 FPGA 기반 양자내성암호 하드웨어 가속기 설계)

  • Haesung Jung;Hanyoung Lee;Hanho Lee
    • Transactions on Semiconductor Engineering
    • /
    • v.1 no.1
    • /
    • pp.1-8
    • /
    • 2023
  • This paper presents the design and implementation of Crystals-Kyber, a next-generation postquantum cryptography, as a hardware accelerator on an FPGA using High-Level Synthesis (HLS). We optimized the Crystals-Kyber algorithm using various directives provided by Vitis HLS, configured the AXI interface, and designed a hardware accelerator that can be implemented on an FPGA. Then, we used Vivado tool to design the IP block and implement it on the ZYNQ ZCU106 FPGA. Finally, the video was recorded and H.264 compressed with Python code in the PYNQ framework, and the video encryption and decryption were accelerated using Crystals-Kyber hardware accelerator implemented on the FPGA.

Transient testing from LV / SC coupled analysis by new shock synthesis

  • Girard, Alain;Cavro, Etienne;Dupuis, Paul-Eric
    • Advances in aircraft and spacecraft science
    • /
    • v.5 no.2
    • /
    • pp.177-186
    • /
    • 2018
  • This paper deals with the idea to replace the usual high-level sine sweep test on shaker at system level, very severe, by a low level one completed by a transient test in the same configuration, in order to be more representative of the real environment, thus limiting over testing and improving the payload comfort. The problem of the transient test specification is first discussed. The proposed solution is to derive from LV/SC coupled analyses a shock response spectrum corresponding to two damping ratios. Then, the question of adequate shock synthesis is tackled. A new method with a given spectrum is considered for better potential and accuracy than the usual wavelets. A campaign on the Intespace bi-shaker devoted to system level showed its capability to perform the resulting test with one spectrum. First investigations to extend this approach to two spectra are in progress.

Design of a High-Level Synthesis System Supporting Asynchronous Interfaces (비동기 인터페이스를 지원하는 정원 수준 합성 시스템의 설계)

  • 이형종;이종화;황선영
    • Journal of the Korean Institute of Telematics and Electronics A
    • /
    • v.31A no.2
    • /
    • pp.116-124
    • /
    • 1994
  • This paper describes the design of a high-level synthesis system. ISyn: Interface Synthesis System for ISPS-A. which generates hardware satisfying timing constraints. The original version of ISPS is extended to be used for the description/capture of interface operations and timing constraints in the ISPS-A. To generate the schedule satisfying interface constraints the scheduling process is divided into two steps:pre-scheduling and post-scheduling. ISyn allocates hardware modules with I/O ports by the clique partitioning algorithm. Experimental results show that ISyn is capable of synthesizing hardware modules effectively for internal and/or interactive operations.

  • PDF

A pipeline synthesis for a trace-back systolic array viterbi decoder (역추적 시스토릭 어레이 구조 비터비 복호기의 파이프라인 합성)

  • 정희도;김종태
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.35C no.3
    • /
    • pp.24-31
    • /
    • 1998
  • This paper presents a pipeline high-level synthesis tool for designing trace-back systolic array viterbi decoder. It consists of a dta flow graph(DFG) generator and a pipeline data path synthesis tool. First, the DFG of the vitrebi decoder is generated in the from of VHDL netlist. The inputs to the DFG generator are parameters of the convolution encoder. Next, the pipeline scheduling and allocationare performed. The synthesis tool explores the design space efficiently, synthesizes various designs which meet the given constraints, and choose the best one.

  • PDF

Impact of High-Level Expression of Heterologous Protein on Lactococcus lactis Host

  • Kim, Mina;Jin, Yerin;An, Hyun-Joo;Kim, Jaehan
    • Journal of Microbiology and Biotechnology
    • /
    • v.27 no.7
    • /
    • pp.1345-1358
    • /
    • 2017
  • The impact of overproduction of a heterologous protein on the metabolic system of host Lactococcus lactis was investigated. The protein expression profiles of L. lactis IL1403 containing two near-identical plasmids that expressed high- and low-level of the green fluorescent protein (GFP) were examined via shotgun proteomics. Analysis of the two strains via high-throughput LC-MS/MS proteomics identified the expression of 294 proteins. The relative amount of each protein in the proteome of both strains was determined by label-free quantification using the spectral counting method. Although expression level of most proteins were similar, several significant alterations in metabolic network were identified in the high GFP-producing strain. These changes include alterations in the pyruvate fermentation pathway, oxidative pentose phosphate pathway, and de novo synthesis pathway for pyrimidine RNA. Expression of enzymes for the synthesis of dTDP-rhamnose and N-acetylglucosamine from glucose was suppressed in the high GFP strain. In addition, enzymes involved in the amino acid synthesis or interconversion pathway were downregulated. The most noticeable changes in the high GFP-producing strain were a 3.4-fold increase in the expression of stress response and chaperone proteins and increase of caseinolytic peptidase family proteins. Characterization of these host expression changes witnessed during overexpression of GFP was might suggested the metabolic requirements and networks that may limit protein expression, and will aid in the future development of lactococcal hosts to produce more heterologous protein.