• 제목/요약/키워드: Hard-Mask

검색결과 69건 처리시간 0.035초

UV-NIL(Ultraviolet-Nano-Imprinting-Lithography) 방법을 이용한 나노 패터닝기술 (Nano-patterning technology using an UV-NIL method)

  • 심영석;정준호;손현기;신영재;이응숙;최성욱;김재호
    • 한국진공학회지
    • /
    • 제13권1호
    • /
    • pp.39-45
    • /
    • 2004
  • UV-나노임프린팅 (Ultraviolet-Nanoimprinting Lithography:UV-NIL) 공정 기술은 수십 나노에서 수 나노미터 크기의 구조물을 적은 비용으로 대량생산 할 수 있다는 장점을 가지고 있는 기술로 최근 전세계적으로 연구가 활발히 진행되고 있다. 본 연구에서는 반도체 공정 중 마스크 제작 공정을 이용하여 나노패턴을 가진 5${\times}$5${\times}$0.09 인치 크기의 수정스탬프(quartz stamp)를 제작하였고, 임프린팅 (imprinting)시에 레지스트(resist)와 스탬프(stamp) 사이에서 발생하는 점착현상(adhesion)을 방지하고자 그 표면에 Fluoroalkanesilane(FAS) 표면처리를 하였다. 웨이퍼의 평탄도를 개선하고 친수(hydrophilic) 상태의 표면을 만들기 위해 그 표면에 평탄화층을 스핀코팅하였고, 1 nl의 분해능을 가진 디스펜서(dispenser)를 이용하여 레지스트 액적을 도포하였다. 스템프 상의 패턴과 레지스트에 임프린트된 패턴은 SEM, AFM 등을 이용하여 측정하였으며, EVG620-NIL 장비를 이용한 임프린팅 실험에서 370 nm - 1 um 크기의 다양한 패턴을 가진 스탬프의 패턴들이 정확하게 레지스트에 전사됨을 확인하였다.

60 MHz/2 MHz Dual-Frequency Capacitive Coupled Plasma에서 Pulse-Time Modulation을 이용한 $SiO_2$의 식각특성

  • 김회준;전민환;양경채;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.307-307
    • /
    • 2013
  • 초고집적 회로에 적용되는 반도체 소자의critical dimension (CD)이 수 nano 사이즈로 줄어들고 있기 때문에, 다양한 물질의 식각을 할 때, 건식식각의 중요성이 더 강조되고 있다. 특히 $SiO_2$와 같은 유전체 물질을 식각할 때, plasma process induced damages (P2IDs)가 관찰되어 왔고, 이러한 P2IDs를 줄이기 위해, pulsed-time modulation plasma가 광범위하게 연구되어 왔다. Pulsed plasma는 정기적으로 radio frequency (RF) power on과 off를 반복하여 rf power가 off된 동안, 평균전자 온도를 낮춤으로써, 웨이퍼로 입사되는 전하 축적을 효과적으로 줄일 수 있다. 또한 fluorocarbon plasmas를 사용하여 $SiO_2$를 식각하기 위해 Dual-Frequency Capacitive coupled plasma (DF-CCP)도 널리 연구되어 왔는데, 이것은 기존의 방법과는 다르게 plasma 밀도와 ion bombardment energy를 독립적으로 조절 가능하다는 장점이 있어서 미세 패턴을 식각할 때 효과적이다. 본 연구에서는 Source power에는 60 MHz pulsed radio frequency (RF)를, bias power에는 2 MHz continuous wave (CW) rf power가 사용된 system에서 Ar/$C_4$ F8/$O_2$ 가스 조합으로, amorphous carbon layer (ACL)가 hard mask로 사용된 $SiO_2$를 식각했다. 그리고 source pulse의 duty ratio와 pulse frequency의 효과에 따른 $SiO_2$의 식각특성을 연구하였다. 그 결과, duty ratio의 감소에 따라 $SiO_2$, ACL의 etch rate이 감소했지만, $SiO_2$/ACL의 etch selectivity는 증가하였다. 반면에 pulse frequency의 변화에 따른 두 물질의 etch selectivity는 크게 변화가 없었다. 그 이유는 pulse 조건인 duty ratio의 감소가 전자 온도 및 전자 에너지를 낮춰 $C_2F8$가스의 분해를 감소시켰으며, 이로 인해 식각된 $SiO_2$의 surface와 sidewall에 fluorocarbon polymer의 형성이 증가하였기 때문이다. 또한 duty ratio의 감소에 따라 etch selectivity뿐만 아니라 etch profile까지 향상되는 것을 확인할 수 있었다.

  • PDF

TV 법정 프로그램에 나타난 법 이미지와 현실구성: <실화극장-죄와 벌>을 중심으로 (Images of Law and Reality in TV Legal Series: Focusing on )

  • 이희은
    • 한국언론정보학보
    • /
    • 제50권
    • /
    • pp.121-142
    • /
    • 2010
  • 이 논문은 법을 소재로 한 TV 프로그램이 구성하는 현실과 법이미지에 대한 분석 연구이다. 법체계를 구성하는 법문화나 법규범은 배타적이고 폐쇄적인 특성 때문에 일반인들이 접근하기에 쉽지 않다. 그러나 이러한 법적인 내용이 미디어를 통해 방송 언어로 재현될 때는, 정보 제공 기능과 오락 기능은 물론 현실을 구성하고 교육하는 역할까지 수행하는 경우가 많다. 실제 일어났던 사건을 재연의 형식으로 되돌아보는 <실화극장-죄와 벌>도 그러한 사례 중의 하나이다. 이 리얼리티 프로그램은 현실의 사건을 재현하여 수용자들에게 오락과 정보를 제공하고, 드라마와 다큐멘터리의 기법을 혼합하여 법체계 및 법적 쟁점들에 대한 의미를 구성한다. 이때 미디어를 통해 재현되는 법은 현실적인 사회구조에 대한 인식과 연관되어 의미를 구성한다. <실화극장-죄와 벌>의 경우, 법의 권위를 강화한다는 소위 'CSI 효과'보다는 대립 구조로 사회를 파악하고 개인과 법의 관계를 고민하게 만드는 의미가 더 큰 것으로 분석되었다. 결국 TV 법정 프로그램은 법체계를 교육하는 상징체계의 역할뿐 아니라 현실의 법과 사회구조와 가치에 대한 의미를 구성하는 기구 역할을 한다고 볼 수 있다.

  • PDF

보철 수복시 치간 유두에 대한 고려 사항

  • 이성복;이승규
    • 대한심미치과학회지
    • /
    • 제10권1호
    • /
    • pp.30-45
    • /
    • 2001
  • In recent years, clinicians' and dentists' esthetic demands in dentistry have increased rapidly. The ultimate goal in modern restorative dentistry is to achieve "white" and "pink" esthetics in the esthetically important zones. Therefore, modern esthetic dentistry involves not only the restoration of lost teeth and their associated hard tissues, but increasingly the management and reconstruction of the encasing gingiva with adequate surgical techniques. Interdental space are filled by interdental papilla in the healthy gingiva, preventing plaque deposition and protecting periodontal tissue from infection. This also inhibits impaction of food remnants and whistling through the teeth during speech. These functional aspects are obviously important, but esthetic aspects are important as well. Complete and predictable restoration of lost interdental papillae remains one of the biggest challenges in periodontal reconstructive surgery. One of the most challenging and least predictable problems is the reconstruction of the lost interdental papilla. The interdental papilla, as a structure with minor blood supply, was left more or less untouched by clinicians. Most of the reconstructive techniques to rebuild lost interdental papillae focus on the maxillary anterior region, where esthetic defects appear interproximally as "black triangle". Causes for interdental tissue loss are, for example, commom periodontal diseases, tooth extraction, excessive surgical periodontal treatment, and localized progressive gingiva and periodontal diseases. If an interdental papilla is absent because of a diastema, orthodontic closure is the treatment of choice. "Creeping" papilla formation has been described by closing the interdental space and creating a contact area. In certain cases this formation can also be achieved with appropriate restorative techniques and alteration of the mesial contours of the adjacent teeth. The presence of an interdental papilla depends on the distance between the crest of bone and the interproximal contact point, allowing it to fill interdental spaces with soft tissue by altering the mesial contours of the adjacent teeth and positioning the contact point more apically. The interdental tissue can also be conditioned with the use of provisional crowns prior to the definitive restoration. If all other procedures are contraindicated or fail, prosthetic solutions have to be considered as the last possibility to rebuild lost interdental papillae. Interdental spaces can be filled using pink-colored resin or porcelain, and the use of a removable gingival mask might be the last opportunity to hide severe tissue defects.

  • PDF

고밀도 플라즈마를 이용한 PZT용 Pt/RuO$_{2}$ 이중박막의 식각 (Dry Etching of Pt/RuO$_{2}$ for Pb(Zr,Ti)O$_{3}$ by High Density Plasma)

  • 이종근;박세근
    • 대한전자공학회논문지SD
    • /
    • 제37권3호
    • /
    • pp.1-5
    • /
    • 2000
  • 나선형태의 평면 안테나를 갖는 유도결합형 플라즈마를 이용하여 PZT용 Pt/RuO/sub 2/ 전극을 건식식각하였다. 누설전류 억제특성이 우수한 Pt와 건식식각이 용이한 RuO/sub 2/ 박막의 장점을 동시에 이용하기 위하여 PZT의 하부전극으로 Pt/RuO/sub 2/의 2중층을 시도하였다. 우선 Pt와 RuO/sub 2/ 박막 각각에 대하여 플라즈마의 여러 조건에 따라 식각율과 선택비를 조사하였다. 조사된 공정기체는 O/sub 2/ 와 Cl/sub 2/ 의 혼합기체이며, 패터닝을 위해 사용한 마스크재료는 SiO/sub 2/ 산화막이었다. Cl/sub 2/ 의 함량이 증가함에 따라 Pt의 식각율은 점점 증가하지만, RuO/sub 2/의 경우에는 Cl/sub 2/의 함량이 처음 10% 정도가지 증가할 때에는 RuO/sub 2/의 식각율이 급격히 증가하지만 더 이상의 Cl/sub 2/ 함량에서는 식각율이 점차 감소하였다. Pt/RuO/sub 2/의 2중층을 동시에 식각하기 위한 최적의 기체혼합비를 구하였으며, 0.5 마이크론급의 미세패터닝을 시도하였다.

  • PDF

Investigation on Etch Characteristics of FePt Magnetic Thin Films Using a $CH_4$/Ar Plasma

  • Kim, Eun-Ho;Lee, Hwa-Won;Lee, Tae-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.167-167
    • /
    • 2011
  • Magnetic random access memory (MRAM) is one of the prospective semiconductor memories for next generation. It has the excellent features including nonvolatility, fast access time, unlimited read/write endurance, low operating voltage, and high storage density. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal-oxide semiconductor (CMOS). The MTJ stack is composed of various magnetic materials, metals, and a tunneling barrier layer. For the successful realization of high density MRAM, the etching process of magnetic materials should be developed. Among various magnetic materials, FePt has been used for pinned layer of MTJ stack. The previous etch study of FePt magnetic thin films was carried out using $CH_4/O_2/NH_3$. It reported only the etch characteristics with respect to the variation of RF bias powers. In this study, the etch characteristics of FePt thin films have been investigated using an inductively coupled plasma reactive ion etcher in various etch chemistries containing $CH_4$/Ar and $CH_4/O_2/Ar$ gas mixes. TiN thin film was employed as a hard mask. FePt thin films are etched by varying the gas concentration. The etch characteristics have been investigated in terms of etch rate, etch selectivity and etch profile. Furthermore, x-ray photoelectron spectroscopy is applied to elucidate the etch mechanism of FePt thin films in $CH_4$/Ar and $CH_4/O_2/Ar$ chemistries.

  • PDF

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Role of CH2F2 and N-2 Flow Rates on the Etch Characteristics of Dielectric Hard-mask Layer to Extreme Ultra-violet Resist Pattern in CH2F2/N2/Ar Capacitively Coupled Plasmas

  • Kwon, B.S.;Lee, J.H.;Lee, N.E.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.210-210
    • /
    • 2011
  • The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.

  • PDF

Carbon 계 유기막질 Plasma Etching에 있어 COS (Carbonyl Sulfide) Gas 특성에 관한 연구

  • 김종규;민경석;김찬규;남석우;강호규;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.460-460
    • /
    • 2012
  • 반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.

  • PDF