• Title/Summary/Keyword: Gas nitride

Search Result 312, Processing Time 0.023 seconds

Electrical Properties of DC Sputtered Titanium Nitride Films with Different Processing Conditions and Substrates

  • Jin, Yen;Kim, Young-Gu;Kim, Jong-Ho;Kim, Do-Kyung
    • Journal of the Korean Ceramic Society
    • /
    • v.42 no.7 s.278
    • /
    • pp.455-460
    • /
    • 2005
  • Deposition of TiN$_{x}$ film was conducted with a DC sputtering technique. The effect of the processing parameters such as substrate temperature, deposition time, working pressure, bias power, and volumetric flowing rate ratio of Ar to N$_{2}$ gas on the resistivity of TiN$_{x}$ film was systematically investigated. Three kinds of substrates, soda-lime glass, (100) Si wafer, and 111m thermally grown (111) SiO$_{2}$ wafer were used to explore the effect of substrate. The phase of TiN$_{x}$ film was analyzed by XRD peak pattern and deposition rate was determined by measuring the thickness of TiNx film through SEM cross-sectional view. Resistance was obtained by 4 point probe method as a function of processing parameters and types of substrates. Finally, optimum condition for synthesizing TiN$_{x}$ film having lowest resistivity was discussed.

Microstructure, Mechanical and Wear Properties of Hot-pressed $Si_3N_4-TiC$ Composites

  • Hyun Jin Kim;Soo Whon Lee;Tadachika Nakayama;Koichi Niihara
    • The Korean Journal of Ceramics
    • /
    • v.5 no.4
    • /
    • pp.317-323
    • /
    • 1999
  • Si3N4-TiC composites have been known as electrically conductive ceramics. $Si_3N_4-TiC$ composites with 2 wt% $Al_2O_3$ and 4 wt% $Y_2O_3$ were hot pressed in $N_2$ environment. The mechanical properties including hardness, fracture toughness, and flexural strength and tribological properties were investigated as a function of TiC content. $Si_3N_4-40$ vol% TiC composite was hot pressed at $1,750^{\circ}C$, $1,800^{\circ}C$, and $1,850^{\circ}C$ for 1, 3 and 5 hours in $N_2$ gas. Mechanical and tribolgical properties depended on microstructures, which were controlled by hte TiC content, hot press temperature, and hot press holding time. However, mechanical properties and tribological behaviors were degraded by the chemical reaction between TiC and N. The chemically reacted products such as TiCN, SiC, and $SiO_2$ were detered by the X-ray diffraction analysis.

  • PDF

Synthesis and Characterization of Fiberous AlN by Electrospinning (전기방사에 의한 섬유상 질화알루미늄 합성 및 특성 평가)

  • Chun, Seung-Yeop;Hwang, Jin-Ah;Chu, Jae-Uk;Chun, Myoung-Pyo
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.30 no.7
    • /
    • pp.441-446
    • /
    • 2017
  • Aluminum nitride fibers were synthesized by carbothermal reduction and nitridation of precursor fibers obtained by electrospinning. The starting materials used to synthesize the AlN fibers were $Al(NO_3)_3{\cdot}9H_2O$ and urea. Polyvinylpyrrolidone with increasing viscidity was used as the carbon source to obtain a composite solution. The mixed solution was drawn into a plastic syringe with a stainless steel needle, which was used as the spinneret and connected to a 20 kV power supply. A high voltage was supplied to the solution to facilitate the formation of a dense net of fibers on the collector. The precursor fibers were dried at $100^{\circ}C$ and then heated to $1,400^{\circ}C$ for 1 h in a microwave furnace under $N_2$ gas flow for the carbothermal reduction and nitridation. X-ray diffraction studies indicated that the synthesized fibers consisted of the AlN phase. Field emission scanning electron microscopy studies indicated that the diameter of the calcined fibers was approximately 100 nm.

Fabrication of Tantalum Nitride Thin-Film as High-temperature Strain Gauges (고온 스트레인 게이지용 질화탄탈박막의 제작)

  • Kim, Jae-Min;Choi, Sung-Kyu;Nam, Hyo-Duk;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.97-100
    • /
    • 2001
  • This paper presents the characteristics of Ta-N thin-film strain gauges as high-temperature strain gauges, which were deposited on Si substrate by DC reactive magnetron sputtering in an argon-nitrogen atmosphere(Ar-(4~16%)$N_2$). These films were annealed for 1 hour in $2{\times}10^{-6}$ Torr vaccum furnace range $500\sim1000^{\circ}C$. The optimized conditions of Ta-N thin-film strain gauges were annealing condition($900^{\circ}C$, 1 hr.) in 8% $N_2$ gas flow ratio deposition atmosphere. Under optimum conditions, the Ta-N thin-films for strain gauges is obtained a high resistivity, $\rho=768.93$ ${\mu}{\Omega}cm$, a low temperature coefficient of resistance, TCR=-84 ppm/$^{\circ}C$ and a high temporal stability with a good longitudinal gauge factor, GF=4.12.

  • PDF

FORMATION OF IRON SULFIDE BY PLASMA-NITRIDING USING SUBSIDIARY CATHODE

  • Hong, Sung-Pill;Urao, Ryoichi;Takeuchi, Manabu;Kojima, Yoshitaka
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.615-620
    • /
    • 1996
  • Chromium-Molybdenum steel was plasma-nitrided at 823 K for 10.8 ks in an atmosphere of 30% $N_2$-70% $H_2$ gas under 665 Pa without and with a subsidiary cathode of $MoS_2$ to compare ion-nitriding and plasma-sulfnitriding using subsidiary cathode. When the steel was ion-nitrided without $MoS_2$, iron nitride layer of 4$\mu\textrm{m}$ and nitrogen diffusion layer of 400mm were formed on the steel. A compound layer of 15$\mu\textrm{m}$ and nitrogen diffusion layer of 400$\mu\textrm{m}$ were formed on the surface of the steel plasma-sulfnitrided with subsidiary cathode of $MoS_2$. The compound layer consisted of FeS containing Mo and iron nitrides. The nitrides of $\varepsilon$-$Fe_2$, $_3N$ and $\gamma$-$Fe_4N$ formed under the FeS. The thicker compound layer was formed by plasma-sulfnitriding than ion-nitriding. In plasma-sulfnitriding, the surface hardness was about 730 Hv. The surface hardness of the steel plasma-sulfnitrided with $MoS_2$ was lower than that of ion-nitrided without $MoS_2$. This may be due to the soft FeS layer formed on the surface of the plasma-sulfnitrided steel.

  • PDF

Role of gas flow rate during etching of hard-mask layer to extreme ultra-violet resist in dual-frequency capacitively coupled plasmas

  • Gwon, Bong-Su;Lee, Jeong-Hun;Lee, Nae-Eung
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.132-132
    • /
    • 2010
  • In the nano-scale Si processing, patterning processes based on multilevel resist structures becoming more critical due to continuously decreasing resist thickness and feature size. In particular, highly selective etching of the first dielectric layer with resist patterns are great importance. In this work, process window for the infinitely high etch selectivity of silicon oxynitride (SiON) layers and silicon nitride (Si3N4) with EUV resist was investigated during etching of SiON/EUV resist and Si3N4/EUV resist in a CH2F2/N2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the CH2F2 and N2 flow ratio and low-frequency source power (PLF). It was found that the CH2F2/N2 flow ratio was found to play a critical role in determining the process window for ultra high etch selectivity, due to the differences in change of the degree of polymerization on SiON, Si3N4, and EUV resist. Control of N2 flow ratio gave the possibility of obtaining the ultra high etch selectivity by keeping the steady-state hydrofluorocarbon layer thickness thin on the SiON and Si3N4 surface due to effective formation of HCN etch by-products and, in turn, in continuous SiON and Si3N4 etching, while the hydrofluorocarbon layer is deposited on the EUV resist surface.

  • PDF

Deposition of c-BN Films on Tungsten Carbide Insert Tool by Microwave Plasma Enhanced Chemical Vapor Deposition(MPECVD) (MPECVD법에 의한 초경인서트 공구의 c-BN 박막 증착)

  • Yoon, Su-Jong;Kim, Tae-Gyu
    • Journal of the Korean institute of surface engineering
    • /
    • v.41 no.2
    • /
    • pp.43-47
    • /
    • 2008
  • Cubic boron nitride(c-BN) films were deposited on tungsten carbide insert tool by microwave plasma enhanced chemical vapor deposition(MPECVD) from a gas mixture of triethyl borate$(B(C_2H_5O)_3)$, ammonia $(NH_3)$, hydrogen$(H_2)$ and argon(Ar). The qualities of deposited thin film were investigated by x-ray diffrac-tion(XRD), field emission scanning electron microscopy(FE-SEM) and micro Raman spectroscope. The surface morphologies of the synthesised BN as well as crystallinity appear to be highly dependent on the flow rate of $B(C_2H_5O)_3$ and $(NH_3)$ gases. The deposited film had more crystallized phases with 5 scem of $B(C_2H_5O)_3$ and $(NH_3)$ gases than with 2 sccm, and the phase was identified as c-BN by micro Raman spectroscope and XRD. The adhesion strength were also increased with increasing flow rates of $B(C_2H_5O)_3$ and $(NH_3)$ gases.

Fabrication of MISFET type hydrogen sensor for high Performance (고성능 MISFET형 수소센서의 제작과 특성)

  • Kang, K.H.;Park, K.Y.;Han, S.D.;Choi, S.Y.
    • Transactions of the Korean hydrogen and new energy society
    • /
    • v.15 no.4
    • /
    • pp.317-323
    • /
    • 2004
  • We fabricated a MISFET using Pd/NiCr gate for the detecting of hydrogen gas in the air and investigated its electrical characteristics. To improve stability and high concenntration sensitivity and remove the blister generated by the penetration of hydrogen atoms Pd/NiCr catalyst gate metal are used as dual gate. To reduce the gate drift voltage caused by the inflow of hydrogen, the gate insulators of sensing and reference FFET were constructed with double insulation layers of silicon dioxide and silicon nitride. The hydrogen response of MISFET were amplified with the difference of gate voltages of both MISFET. To minimize the drift and the noise, we used a OP177 operational amplifier. The sensitivity of the Pd/NiCr gate MISFET was lower than that of Pd/Pt gate MISFET, but it showed good stability and ability to detect high concentration hydrogen up to 1000ppm.

Mechanical Properties of Si3N4 Ceramic Composites with Aligned Whisker Seeds (배향된 휘스커 종자에 의해 제조된 질화규소 세라믹 복합체의 기계적 특성)

  • Kim, Han-Gil;Bang, Kook-Soo;Jung, Sang-Jin;Park, Chan
    • Journal of Ocean Engineering and Technology
    • /
    • v.27 no.2
    • /
    • pp.8-12
    • /
    • 2013
  • Four kinds of silicon nitride composites with tri-laminate structure were prepared by stacking tapes with aligned ${\beta}-Si_3N_4$ whisker seeds. The composites were fabricated using a modified tape casting method for enhanced alignment of the whisker seeds. The relative densities of all four samples reached 99% at room temperature. The three-point flexural strengths of the samples according to the stacking sequences were measured at both room temperature and 1723 K. The high temperature strength of sample WWW was $457{\pm}14$ MPa. The fracture of sample WWW occurred mainly along the grain boundary. The room temperature strengths of samples OOO, OWO, WOW, and WWW were $430{\pm}32$ MPa, $470{\pm}19$ MPa, $700{\pm}14$MPa, and $940{\pm}14$ MPa, respectively.

The Effect of Processing Variables and Composition on the Nitridation Behavior of Silicon Powder Compact

  • Park, Young-Jo;Lim, Hyung-Woo;Choi, Eugene;Kim, Hai-Doo
    • Journal of the Korean Ceramic Society
    • /
    • v.43 no.8 s.291
    • /
    • pp.472-478
    • /
    • 2006
  • The effect of compositional and processing variables on a nitriding reaction of silicon powder compact and subsequent post sintering of RBSN (Reaction-Bonded Silicon Nitride) was investigated. The addition of a nitriding agent enhanced nitridation rate substantially at low temperatures, while the formation of a liquid phase between the nitriding agent and the sintering additives at a high temperature caused a negative catalyst effect resulting in a decreased nitridation rate. A liquid phase formed by solely an additive, however, was found to have no effect on nitridation for the additive amount used in this research. The original site of a decomposing pore former was loosely filled by a reaction product ($Si_3N_4$), which provided a specimen with nitriding gas passage. For SRBSN (Sintered RBSN) specimens of high porosity, only a marginal dimensional change was measured after post sintering. Its engineering implication for near-net shaping ability is discussed.