• Title/Summary/Keyword: Etching Characteristics

Search Result 840, Processing Time 0.032 seconds

Etching characteristics of PST thin films using quderupole mass spectrometry (QMS를 이용한 PST 박막의 식각 특성)

  • Kim, Jong-Sik;Kim, Gwan-Ha;Kim, Kyoung-Tae;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.11a
    • /
    • pp.187-190
    • /
    • 2004
  • In this study, PST thin films were etched with inductively coupled $Cl_2/(Cl_2+Ar)$ plasmas. The etch characteristics of PST thin films as a function of $Cl_2/(Cl_2+Ar)$ gasmixtures were analyzed by using quadrupole mass spectrometer (QMS). Systematic studies were carried out as a function of the etching parameters, including the RF power and the working pressure. The maximum PST film etch rate is 56.2 nm/min, because a small addition of $Cl_2$ to the $Cl_2/Ar$ mixture increased the chemical effect. It was proposed that sputter etching is the dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products.

  • PDF

Etching characteristics of PST thin films for tunable device application (Tunable 소자 응용을 위한 PST 박막의 식각특성)

  • Kim, Jong-Shik;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07b
    • /
    • pp.726-729
    • /
    • 2004
  • Etching characteristics of (Pb,Sr)$TiO_3$(PST) thin films were investigated using inductively coupled chlorine based plasma system as functions of gas mixing ratio, RF power and DC bias voltage. It was found that increasing of hi content in gas mixture lead to sufficient increasing of etch rate and selectivity of PST to Pt. The maximum etch rate of PST film is $562{\AA}/min$ and the selectivity of PST film to Pt is 0.8 at $Cl_2/(Cl_2+Ar)$ of 20 %. It was Proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products.

  • PDF

Inductively Coupled Plasma Reactive Ion Etching of MgO Thin Films Using a $CH_4$/Ar Plasma

  • Lee, Hwa-Won;Kim, Eun-Ho;Lee, Tae-Young;Chung, Chee-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.77-77
    • /
    • 2011
  • These days, a growing demand for memory device is filled up with the flash memory and the dynamic random access memory (DRAM). Although DRAM is a reasonable solution for current demand, the universal novel memory with high density, high speed and nonvolatility, needs to be developed. Among various new memories, the magnetic random access memory (MRAM) device is considered as one of good candidate memories because of excellent features including high density, high speed, low operating power and nonvolatility. The etching of MTJ stack which is composed of magnetic materials and insulator such as MgO is one of the vital process for MRAM. Recently, MgO has attracted great interest in the MTJ stack as tunneling barrier layer for its high tunneling magnetoresistance values. For the successful realization of high density MRAM, the etching process of MgO thin films should be investigated. Until now, there were some works devoted to the investigations on etch characteristics of MgO thin films. Initially, ion milling was applied to the etching of MgO thin films. However, ion milling has many disadvantages such as sidewall redeposition and etching damage. High density plasma etching containing the magnetically enhanced reactive ion etching and high density reactive ion etching have been employed for the improvement of etching process. In this work, inductively coupled plasma reactive ion etching (ICPRIE) system was adopted for the improvement of etching process using MgO thin films and etching gas mixes of $CH_4$/Ar and $CH_4$/$O_2$/Ar have been employed. The etch rates are measured by a surface profilometer and etch profiles are observed using field emission scanning emission microscopy (FESEM). The effects of gas concentration and etch parameters such as coil rf power, dc-bias voltage to substrate, and gas pressure on etch characteristics will be systematically explored.

  • PDF

Study on the Etching Characteristics of $0.2\mu\textrm{m}$ fine Pattern of Ta Thin film for Next Generation Lithography Mask (차세대 노광공정용 Ta박막의 $0.2\mu\textrm{m}$ 미세패턴 식각특성 연구)

  • Woo, Sang-Gyun;Kim, Sang-Hoon;Ju, Sup-Youl;Ahn, Jin-Ho
    • Korean Journal of Materials Research
    • /
    • v.10 no.12
    • /
    • pp.819-824
    • /
    • 2000
  • In this research, the etching characteristics of Ta thin film with chlorine plsama have been studied by Electron Cyclotron Resonance (ECR) plasma etching system. The effects of microwave power, RF bias power, working pressure and gas chemistry on the etching profiles have been investigated. The microloading effect, which was observed at fine pattern formation, was effectively suppressed by double step etching, and anisotropic $0.2{\mu\textrm{m}}$ L&S patterns were successfully generated.

  • PDF

Wet-Etching Characteristics of Inorganic GeSbTe Films for High Density Optical Data Storage (고밀도 광기록을 위한 GeSbTe 박막의 Wet-Etching 특성연구)

  • Kim, Jin-Hong;Kim, Sun-Hee;Lee, Jun-Seok
    • Transactions of the Society of Information Storage Systems
    • /
    • v.2 no.3
    • /
    • pp.196-200
    • /
    • 2006
  • We are developing a phase change etching technology using an inorganic photoresist of GeSbTe film which is the recording material of the phase change disc. A selective etching phenomenon between amorphous and crystalline states can be utilized with an alkaline etchant. Phase-change pits could be formed using this technique, in which the etching selectivity is strongly dependent on the concentration of the etchant. The degree of etching was investigated by the transmittance between crystalline and amorphous films after the wet-etching. The pits patterned on the disc could be observed by AFM after wet-etching.

  • PDF

Analysis of the Critical Characteristics in the Superconducting Strip Lines by ICP Etching System (ICP 식각 시스템에 의한 초전도 스트립 라인의 임계 특성 분석)

  • 고석철;강형곤;최효상;양성채;한병성
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.782-787
    • /
    • 2004
  • Superconducting flux flow transistor (SFFT) is based on a control of the Abrikosov vortex flowing along a channel. The induced voltage by moving of the Abrikosov vortex in an SFFT is greatly affected by the thickness, the width, and the length of channel. In order to fabricate a reproducible channel in the SFFT, we studied the variation of the critical characteristics of ${YBa}_2{Cu}_3{O}_7-\delta(YBCO)$ thin films with the etching time using ICP (Inductively coupled plasma) system. From the simulation, it was certified that the vortex velocity was increased in a low pinning energy at channel width 0,5 mm. The surfaces of YBCO thin film were etched by ICP etching system. We observed the etched channel surfaces by AFM (Atomic Force Microscope) and measured the critical current density with etching time. As a measured results, the etching thickness of channel should be optimized to fabricated a flux flow transistor with specified characteristics.

Study on Photoelectrochemical Etching of Single Crystal 6H-SiC (단결정 6H-SiC의 광전화학습식식각에 대한 연구)

  • 송정균;정두찬;신무환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.2
    • /
    • pp.117-122
    • /
    • 2001
  • In this paper, we report on photoelectrochemical etching process of 6H-SiC semiconductor wafer. The etching was performed in two-step process; anodization of SiC surface to form a deep porous layer and thermal oxidation followed by an HF dip. Etch rate of about 615${\AA}$/min was obtained during the anodization using a dilute HF(1.4wt% in H$_2$O) electrolyte with the etching potential of 3.0V. The etching rate was increased with the bias voltage. It was also found out that the adition of appropriate portion of H$_2$O$_2$ into the HF solution improves the etching rate. The etching process resulted in a higherly anisotropic etching characteristics and showed to have a potential for the fabrication of SiC devices with a novel design.

  • PDF

Dry Etching Process for the Fabrication of Transparent InGaZnO TFTs

  • Yoon, S.M.;Cheong, W.S.;Hwang, C.S.;Kopark, S.H.;Cho, D.H.;Shin, J.H.;Ryu, M.;Byun, C.W.;Yang, S.;Lee, J.I.;Chung, S.M.;Chu, H.Y.;Cho, K.I.
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2008.10a
    • /
    • pp.222-225
    • /
    • 2008
  • We proposed the dry etching process recipe for the fabrication of In-Ga-Zn-O (IGZO)-based oxide TFTs, in which the etching behaviors of IGZO films were systematically investigated when the etching gas mixtures and their mixing ratios were varied. Good device characteristics of the fabricated TFT were successfully confirmed.

  • PDF

Characteristics of Plasma Damage and Recover in PZT Films by Dry Etching (건식식각에 의한 PZT 박막의 플라즈마 손상 및 회복특성)

  • 강명구;김경태;김동표;김창일
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.07a
    • /
    • pp.375-378
    • /
    • 2002
  • We investigated the reduction of etching damage by additive O$_2$ in etching gas and recovery of etching damage by O$_2$ annealing. The PZT thin films were etched using additive Ar or O$_2$ into Cl$_2$/CF$_4$ gas mixing ratio of 8/2. In order to recover ferroelectric properties of PZT thin films after etching, the etched PZT thin films were annealed at 600 C in O$_2$ atmosphere for 10 min. The remanent polarization is decreased seriously and fatigue is accelerated in the PZT sample etched in Ar/(C1$_2$+CF$_4$) plasma, whereas these characteristics are improved in O$_2$/(Cl$_2$/CF$_4$). From x-ray photoelectron spectroscopy (XPS) analysis, the intensities of Pb-O, Zr-O and Ti-O peaks are changed and the etch byproducts such as metal chloride and metal fluoride are reduced by O$_2$ annealing. From electron probe micro analyzer (EPMA) and auger electron spectroscopy(AES), O$_2$ vacancy is observed after etching. In x-ray diffraction (XRD), the structure damage in the additive O$_2$ into C1$_2$/CF$_4$ is reduced and the improvement of ferroelectric behavioral annealed sample is consistent with the increase of the (100) and (200) PZT peaks.

  • PDF

A Study on Anisotropic Etching Characteristics of Silicon in TMAH/AP Solutions and Fabrication of a Diaphragm (TMAH/AP 용액의 실리콘 이방성 식각특성 및 다이아프램 제작에 대한 연구)

  • 윤의중;김좌연;이태범;이석태
    • Proceedings of the IEEK Conference
    • /
    • 2003.07b
    • /
    • pp.1033-1036
    • /
    • 2003
  • In this paper, Si anisotropic etching characteristics of tetramethylammonium hydroxide (TMAH)/ ammonium persulfate (AP) solutions were investigated to realize the optimum structure of a diaphragm for the piezoresistive pressure sensor application. Due to its low toxicity and its high compatibility with the CMOS processing, TMAH was used as Si anisotropic etchants. The variations of Si etch rate on the etching temperature, TMAH concentration, and etching time were obtained. With increasing the etching temperature and decreasing TMAH concentrations, the Si etch rate is increased while a significant non-uniformity exists on the etched surface because of formation of hillocks on the <100> surface. With the addition of AP to TMAH solution, the Si etch rate is increased and an improvement in flatness on the etching front is observed. The Si etch rate is also maximized with increasing the number of addition of AP to TMAH solution per one hour. The Si square diaphragms of 20${\mu}{\textrm}{m}$ thickness and 100~400${\mu}{\textrm}{m}$ one-side length were fabricated successfully by applying optimum Si etching conditions of TMAH/AP solutions.

  • PDF