• Title/Summary/Keyword: Etch profile

Search Result 144, Processing Time 0.031 seconds

Polishing Characteristics of Pt Electrode Materials by Addition of Oxidizer (산화제 첨가에 따른 백금 전극 물질의 연마 특성)

  • Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the KIEE Conference
    • /
    • 2006.07c
    • /
    • pp.1384-1385
    • /
    • 2006
  • Platinum is a candidate of top and bottom electrode in ferroelectric random access memory and dynamic random access memory. High dielectric materials and ferroelectric materials were generally patterned by plasma etching, however, the low etch rate and low etching profile were repoted. We proposed the damascene process of high dielectric materials and ferroelectric materials for patterning process through the chemical mechanical polishing process. At this time, platinum as a top electrode was used for the stopper for the end-point detection as Igarashi model. Therefore, the control of removal rate in platinum chemical mechanical polishing process was required. In this study, an addition of $H_{2}O_{2}$ oxidizer to alumina slurry could control the removal rate of platinum. The removal rate of platinum rapidly increased with an addition of 10wt% $H_{2}O_{2}$ oxidizer from 24.81nm/min to 113.59nm/min. Within-wafer non-uniformity of platinum after chemical mechanical polishing process was 9.93% with an addition of 5wt% $H_{2}O_{2}$ oxidizer.

  • PDF

Study of Surface Reaction and Gas Phase Chemistries in High Density C4F8/O2/Ar and C4F8/O2/Ar/CH2F2 Plasma for Contact Hole Etching

  • Kim, Gwan-Ha
    • Transactions on Electrical and Electronic Materials
    • /
    • v.16 no.2
    • /
    • pp.90-94
    • /
    • 2015
  • In this study, the characterizations of oxide contact hole etching are investigated with C4F8/O2/Ar and CH2F2/C4F8/O2/ Ar plasma. As the percent composition of C4F8 in a C4F8/O2/Ar mixture increases, the amount of polymer deposited on the etched surface also increases because the CxFy polymer layer retards the reaction of oxygen atoms with PR. Adding CH2F2 into the C4F8/O2/Ar plasma increases the etch rate of the oxide and the selectivity of oxide to PR. The profile of contact holes was close to 90°, and no visible residue was seen in the SEM image at a C4F8/(C4F8+O2) ratio of 58%. The changes of chemical composition in the chamber were analyzed using optical emission spectroscopy, and the chemical reaction on the etched surface was investigated using X-ray photoelectron spectroscopy.

Fabrication of Artificial Sea Urchin Structure for Light Harvesting Device Applications

  • Yeo, Chan-Il;Kwon, Ji-Hye;Kim, Joon-Beom;Lee, Yong-Tak
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.380-381
    • /
    • 2012
  • Bioinspired sea urchin-like structures were fabricated on silicon by inductively coupled plasma (ICP) etching using lens-like shape hexagonally patterned photoresist (PR) patterns and subsequent metal-assisted chemical etching (MaCE) [1]. The lens-like shape PR patterns with a diameter of 2 ${\mu}m$ were formed by conventional lithography method followed by thermal reflow process of PR patterns on a hotplate at $170^{\circ}C$ for 40 s. ICP etching process was carried out in an SF6 plasma ambient using an optimum etching conditions such as radio-frequency power of 50 W, ICP power of 25 W, SF6 flow rate of 30 sccm, process pressure of 10 mTorr, and etching time of 150 s in order to produce micron structure with tapered etch profile. 15 nm thick Ag film was evaporated on the samples using e-beam evaporator with a deposition rate of 0.05 nm/s. To form Ag nanoparticles (NPs), the samples were thermally treated (thermally dewetted) in a rapid thermal annealing system at $500^{\circ}C$ for 1 min in a nitrogen environment. The Ag thickness and thermal dewetting conditions were carefully chosen to obtain isolated Ag NPs. To fabricate needle-like nanostructures on both the micron structure (i.e., sea urchin-like structures) and flat surface of silicon, MaCE process, which is based on the strong catalytic activity of metal, was performed in a chemical etchant (HNO3: HF: H2O = 4: 1: 20) using Ag NPs at room temperature for 1 min. Finally, the residual Ag NPs were removed by immersion in a HNO3 solution. The fabricated structures after each process steps are shown in figure 1. It is well-known that the hierarchical micro- and nanostructures have efficient light harvesting properties [2-3]. Therefore, this fabrication technique for production of sea urchin-like structures is applicable to improve the performance of light harvesting devices.

  • PDF

Efficient Shadow-Test Algorithm for the Simulation of Dry Etching and Topographical Evolution (건식 식각 공정 시뮬레이션을 위한 효율적인 그림자 테스트 알고리즘과 토포그래피 진화에 대한 연구)

  • Kwon, Oh-Seop;Ban, Yong-Chan;Won, Tae-Young
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.36D no.2
    • /
    • pp.41-47
    • /
    • 1999
  • In this paper, we report 3D-simulations of a plasma etching process by employing cell-removal algorithm takes into account the mask shadow effect os well as spillover errors. The developed simulator haas an input interface to take not only an analytic form but a Monte Carlo distribution of the ions. The graphic user interface(GUI) was also built into the simulator for UNIX environment. To demonstrate the capability of 3D-SURFILER(SURface proFILER), we have simulated for a typical contact hole structure with 36,000($30{\times}40{\times}30$) cells, which takes about 20 minutes with 10 Mbytes memory on sun ultra sparc 1. as an exemplary case, we calculated the etch profile during the reactive ion etching(RIE) of a contact hole wherein the aspect ratio is 1.57. Furthermore, we also simulated the dependence of a damage parameter and the evolution of topography as a function of the chamber pressure and the incident ion flux.

  • PDF

Recovery of Etching Damage of the etched PZT Thin Films With $O_{2}$ Re-Annealing. ($O_{2}$ re-annealing에 의한 식각된 PZT 박막의 식각 damage 개선)

  • Kang, Myoung-Gu;Kim, Kyoung-Tae;Kim, Chang-Il;Chang, Eui-Goo;Lee, Byeong-Ki
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.05b
    • /
    • pp.8-11
    • /
    • 2001
  • In this study. the recovery of plasma induced damage in the etched PZT thin film with $O_2$ re-annealing have been investigated. The PZT thin films were etched as a function of $Cl_2/Ar$ and additive $CF_4$ into $Cl_{2}(80%)/Ar(20)%$. The etch rates of PZT thin films were $1600\dot{A}/min$ at $Cl_{2}(80%)/Ar(20)%$ gas mixing ratio and $1970\dot{A}/min$ at 30 % additive $CF_4$ into $Cl_{2}(80%)/Ar(20)%$. The etched profile of PZT films was obtained above 70 by SEM. In order to recovery properties of PZT thin films after etching, the etched PZT thin films were re-annealed at various temperatures in $O_2$ atmosphere. From the hysteresis curves, ferroelectrical properties are improved by $O_2$ re-annealing process. The improvement of ferroelectric behavior at annealed sample is consistent with the increase of the (100) and (200) PZT phase revealed by x-ray diffraction (XRD). From XPS analysis, intensity of Pb-O, Zr-O and Ti-O peak are increased and the chemical residue peak is reduced by $O_2$ re-annealing. The ferroelectric behavior consistent with the dielectric nature of TixOy is recovered by $O_2$ recombination during rapid thermal annealing process. From AFM images, it shows that the surface roughness of re-annealed sample after etching is improved.

  • PDF

Mechanical Reliability Issues of Copper Via Hole in MEMS Packaging (MEMS 패키징에서 구리 Via 홀의 기계적 신뢰성에 관한 연구)

  • Choa, Sung-Hoon
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.15 no.2
    • /
    • pp.29-36
    • /
    • 2008
  • In this paper, mechanical reliability issues of copper through-wafer interconnections are investigated numerically and experimentally. A hermetic wafer level packaging for MEMS devices is developed. Au-Sn eutectic bonding technology is used to achieve hermetic sealing, and the vertical through-hole via filled with electroplated copper for the electrical connection is also used. The MEMS package has the size of $1mm{\times}1mm{\times}700{\mu}m$. The robustness of the package is confirmed by several reliability tests. Several factors which could induce via hole cracking failure are investigated such as thermal expansion mismatch, via etch profile, and copper diffusion phenomenon. Alternative electroplating process is suggested for preventing Cu diffusion and increasing the adhesion performance of the electroplating process. After implementing several improvements, reliability tests were performed, and via hole cracking as well as significant changes in the shear strength were not observed. Helium leak testing indicated that the leak rate of the package meets the requirements of MIL-STD-883F specification.

  • PDF

Notching Phenomena of Silicon Gate Electrode in Plasma Etching Process (플라즈마 식각공정에서 발생하는 실리콘 게이트 전극의 Notching 현상)

  • Lee, Won Gyu
    • Applied Chemistry for Engineering
    • /
    • v.20 no.1
    • /
    • pp.99-103
    • /
    • 2009
  • HBr and $O_2$ in $Cl_2$ gas ambient for the high density plasma gate etching has been used to increase the performance of gate electrode in semiconductor devices. When an un-doped amorphous silicon layer was used for a gate electrode material, the notching profile was observed at the outer sidewall foot of the outermost line. This phenomenon can be explained by the electron shading effect: i.e., electrons are captured at the photoresist sidewall while ions pass through the photoresist sidewall and reach the oxide surface at a narrowly spaced pattern during the over etch step. The potential distribution between gate lines deflects the ions trajectory toward the gate sidewall. In this study, an appropriate mechanism was proposed to explain the occurrence of notching in the gate electrode of un-doped amorphous silicon.

Dry Etching Characteristics of LiNbO3 Single Crystal for Optical Waveguide Fabrication (광도파로 제작을 위한 단결정 LiNbO3 건식 식각 특성)

  • Park, Woo-Jung;Yang, Woo-Seok;Lee, Han-Young;Yoon, Dae-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.42 no.4
    • /
    • pp.232-236
    • /
    • 2005
  • The etching characteristics of a $LiNbO_{3}$ optical waveguide structure have been investigated using neutral loop discharge plasma with the mixture of $C_{3}F_{8}$ and Ar and the bias power parameters. The etching rate and profile angle of optical waveguide with etching parameters were evaluated by scanning electron microscopy. Also, the etching RMS roughness was evaluated by atomic force microscopy. From the results of optimum etching conditions are the $C_{3}F_{8}$ gas flow ratio of 0.2 and the bias power of 300 W.

Etch characteristics of TiN thin film adding $Cl_2$ in $BCl_3$/Ar Plasma ($BCl_3$/Ar 플라즈마에서 $Cl_2$ 첨가에 따른 TiN 박막의 식각 특성)

  • Um, Doo-Seung;Kang, Chan-Min;Yang, Xue;Kim, Dong-Pyo;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.168-168
    • /
    • 2008
  • Dimension of a transistor has rapidly shrunk to increase the speed of device and to reduce the power consumption. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. Recently, high dielectric materials like $Al_2O_3$, $ZrO_2$, and $HfO_2$ are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled $BCl_3$-based plasma system and adding $Cl_2$ gas. Dry etching of the TiN was studied by varying the etching parameters including $BCl_3$/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, and $Cl_2$ gas addition. The plasmas were characterized by optical emission spectroscopy analysis. Scanning electron microscopy was used to investigate the etching profile.

  • PDF

A Study on Plasma Etching of Tungsten Thin Films using $SF_6$ and $SF_6-N_2$ gases ($SF_6$$SF_6-N_2$ 가스를 이용한 텅스텐 박막의 플라즈마 식각에 관한 연구)

  • Ko, Yong-Deuk;Jeong, Kwang-Jin;Choi, Song-Ho;Koo, Kyoung-Wan;Cho, Tong-Yul;Chun, Hui-Gon
    • Journal of Sensor Science and Technology
    • /
    • v.8 no.3
    • /
    • pp.291-297
    • /
    • 1999
  • The plasma etching of tungsten thin films has been studied with $SF_6$ gas in RIE system. The etch rate of ${\alpha}$-phase W film with $SF_6$ gas plasma has been showed to depend strongly on process parameters ($SF_6$, $SF_6-N_2$ gas). Effect of $N_2$ addition and etching selectivity between W film and photoresist have also been studied in detail. Etching profiles between W film and photoresist were investigated by SEM. The compounds on W surface after $SF_6-N_2$ gas plasma treatment were examined by XPS and the concentration of F ions was detected by OES during plasma on.

  • PDF