• Title/Summary/Keyword: Etch profile

Search Result 144, Processing Time 0.024 seconds

Characteristics of silicon etching related to $He-O_2,\; SiF_4$for trench formation (실리콘 트렌치 식각 특성에 미치는 $He-O_2,\; SiF_4$첨가 가스의 영향)

  • 김상기;이주욱;김종대;구진근;남기수
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.4
    • /
    • pp.364-371
    • /
    • 1997
  • Silicon trench etching has been carried out using a magnetically enhanced reactive ion etching system in HBr plasma containing He-$O_2$, $CF_4$. The changes of etch rate and etch profile, the degree of residue formation, and the change of surface chemical state were investigated as a function of additive gas flow rate. A severe lateral etching was observed when pure HBr plasma was used to etch the silicon, resulted in a pot shaped trench. When He-$O_2$, $SiF_4$ additives were added to HBr plasma, the lateral etching was almost eliminated and a better trench etch profile was obtained. The surface etched in HBr/He-$O_2/SiF_4$ plasma showed relatively low contamination and residue elements compared to the surface etched in HBr/He-$O-2/CF_4$plasma. In addition, the etching characteristics including low residue formation and chemically clean etched surface were obtained by using HBr containing He-$O_2$ or $SiF_4$ additive gases instead of $CF_4$ gas, which were confirmed by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and atomic force microscopy (AFM).

  • PDF

ICP ETCHING OF TUNGSTEN FOR X-RAY MASKS

  • Jeong, C.;Song, K.;Park, C.;Jeon, Y.;Lee, D.;Ahn, J.
    • Journal of the Korean institute of surface engineering
    • /
    • v.29 no.6
    • /
    • pp.869-875
    • /
    • 1996
  • In this article the effects of process parameters of inductively coupled plasma etching with $SF_6$ /$N_2$/Ar mixture gas and mask materials on the etched profile of W were investigated. While the etched profile was improved by $N_2$-addition, low working presure, and reduced $SF_6$ flow rate, the etching selectity (W against SAL resist) was decreased. Due to the difficulty of W etching with single layer resist, sputter deposited $Al_2O_3$ film was used as a hardmask. Reduction of required EB resist thickness through $Al_2O_3$ mask application could reduce proximity effect during e-beam patterning, but the etch anisotropy was degraded by decreased sidewall passiviation effect.

  • PDF

Fabrication and Characterization of Free-Standing DBR Porous Silicon Film

  • Um, Sungyong;Sohn, Honglae
    • Journal of Integrative Natural Science
    • /
    • v.7 no.1
    • /
    • pp.1-4
    • /
    • 2014
  • Distributed Bragg reflector porous silicon of different characteristics were formed to determine their optical constants in the visible wavelength range using a periodic square wave current between low and high current densities. The surface and cross-sectional SEM images of distributed Bragg reflector porous silicon were obtained using a cold field emission scanning electron microscope. The surface image of distributed Bragg reflector porous silicon indicates that the distributions of pores are even. The cross-sectional image illustrates that the multilayer of distributed Bragg reflector porous silicon exhibits a depth of few microns and applying of square current density during the etching process results two distinct refractive indices in the contrast. Distributed Bragg reflector porous silicon exhibited a porosity depth profile that related directly to the current-time profile used in etch. Its free-standing film was obtained by applying an electro-polishing current.

Dry Etching Characteristics of GaN using a Magnetized Inductively Coupled $CH_4/H_2/Ar$ Plassma (자화 유도 결합형 $CH_4/H_2/Ar$ 플라즈마를 이용한 GaN 건식 식각 특성)

  • Kim, Mun-Yeong;Sim, Jong-Gyeong;Tae, Heung-Sik;Lee, Ho-Jun;Lee, Yong-Hyeon;Lee, Jeong-Hui;Baek, Yeong-Sik
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.49 no.4
    • /
    • pp.203-209
    • /
    • 2000
  • This paper proposes the improvement of the etch rate of GaN using a magnetized inductively coupled $CH_4/H_2/Ar$plasma. The gradient magnetic field with the axial direction is investigated using Gauss-meter and the ion current density is measured using double Langmuir probe. The applied magnetic field changes the ion current density profile in the radial direction, resulting in producing the higher density in the outer region than in the center. GaN dry etching process is carried out based on the measurements of the ion current density. The each rate of 2000 /min is achieved with $CH_4/H_2/Ar$ chemistries at 800 W input power, 250W rf bias power, 10 mTorr pressure and 100 gauss magnetic field.

  • PDF

Etching characteristics of gold thin films using inductively coupled $Cl_2/Ar$ plasma ($Cl_2/Ar$ 유도 결합 플라즈마에 의한 gold 박막의 식각특성)

  • Chang, Yun-Seong;Kim, Dong-Pyo;Kim, Chang-Il;Chang, Eui-Goo;Lee, Su-Jae
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.05b
    • /
    • pp.7-11
    • /
    • 2002
  • In this study, Au thin films were etched with a $Cl_2/Ar$ gas combination in an in an inductively coupled plasma. The etch properties were measured for different gas mixing ratios of $Cl_2/(Cl_2+Ar)$ while the other process conditions were fixed at rf power (700 W), dc bias voltage (150 V), and chamber pressure (15 mTorr). The highest etch rate of the Au thin film was 3500 $\AA/min$ and the selectivity of Au to $SiO_2$ was 4.38 at a $Cl_2/(Cl_2+Ar)$ gas mixing ratio of 0.2. The surface reaction of the etched Au thin films was investigated using x-ray photoelectron spectroscopy (XPS) analysis. There is Au-Cl bonding by chemical reaction between Cl and Au. During the etching of Au thin films in $Cl_2/Ar$ plasma, Au-Cl bond is formed, and these products can be removed by the physical bombardment of Ar ions. In addition, Optical emission spectroscopy (OES) were investigated to analyze radical density of Cl and Ar in plasma. The profile of etched Au investigated with scanning electron microscopy (SEM).

  • PDF

A Study on the Etching Characteristics of $YMnO_3$ Thin Films in High Density $Cl_2/Ar$ Plasma (고밀도 $Cl_2/Ar$ 플라즈마를 이용한 $YMnO_3$ 박막의 식각 특성에 관한 연구)

  • 민병준;김창일;장의구
    • Proceedings of the Korean Institute of Navigation and Port Research Conference
    • /
    • 2000.11a
    • /
    • pp.21-24
    • /
    • 2000
  • Ferroelectric YMnO$_3$thin films are excellent dielectric materials for high integrated ferroelectric random access memory (FRAM) with metal-ferroelectric-silicon field effect transistor (MFSFET) structure. In this study, YMnO$_3$thin films were etched with Cl$_2$/Ar gas chemistries in inductively coupled plasma (ICP). The maximum etch rate of YMnO$_3$thin films is 285 $\AA$/min under Cl$_2$/Ar of 10/0, 600 W/-200 V and 15 mTorr. The selectivities of YMnO$_3$over CeO$_2$and $Y_2$O$_3$are 2.85, 1.72, respectively. The results of x-ray photoelectron spectroscopy (XPS) reflect that Y is removed dominantly by chemical reaction between Y and Cl, while Mn is removed more effective by Ar ion bombardment than chemical reaction. The results of secondary ion mass spectrometer (SIMS) were equal to these of XPS. The etch profile of the etched YMnO$_3$film is approximately 65$^{\circ}$and free of residues at the sidewall.

  • PDF

Effect of the fixed oxide charge on the metal-oxide-silicon-on-insulator structures (metal-oxide-silicon-on-insulator 구조에서 고정 산화막 전하가 미치는 영향)

  • Jo, Yeong-Deuk;Kim, Ji-Hong;Cho, Dae-Hyung;Moon, Byung-Moo;Koh, Jung-Hyuk;Ha, Jae-Geun;Koo, Sang-Mo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.83-83
    • /
    • 2008
  • Metal-oxide-silicon-on-insulator (MOSOI) structures were fabricated to study the effect caused by reactive ion etching (RIE) and sacrificial oxidation process on silicon-on-insulator (SOI) layer. The MOSOI capacitors with an etch-damaged SOI layer were characterized by capacitance-voltage (C-V) measurements and compared to the sacrificial oxidation treated samples and the reference samples without etching treatment. The measured C-V curves were compared to the numerical results from 2-dimensional (2-D) simulations. The measurements revealed that the profile of C-V curves significantly changes depending on the SOI surface condition of the MOSOI capacitors. The shift in the measured C-V curves, due to the difference of the fixed oxide charge ($Q_f$), together with the numerical simulation analysis and atomic force microscopy (AFM) analysis, allowed extracting the fixed oxide charges ($Q_f$) in the structures as well as 2-D carrier distribution profiles.

  • PDF

A Study on the Etching Characateristics of TiW Films using BCl$_3$/SF6/ gas chemistries (BCl$_3$/SF6 gas chemistries에 의한 TiW막의 식각특성 연구)

  • 권광호;김창일;윤선진;김상기;백규하;남기수
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.3
    • /
    • pp.1-8
    • /
    • 1997
  • The surface properties after plasma etching of TiW alloy using the chemistries of BCl$_{3}$ and SF$_{6}$ gases with varying mixing ratio have been investigated using XPS(X-ray photoelectron spectrocopy). The elements existed on the etched sampled have been extracted with BCL$_{3}$/SF$_{6}$ ratio and their chemical binding states have also been analysed. It was confirmed that the thickness of native oxide formed on the TiW films is thinner than 10nm by using Ar sputtering. At the same time, the roughness of etched surface has been esamnied using AFM (atomic force microscopy). on the basis of the basis of this results, the relations between the caanges of oxygen contents detected by XPS and the rouhness of etched surface have been discussed. And the etch rate and etched profile of Tiw films have been examined and the changes of the etch rate and etched prfile have been discussed with XPS results. From XPS results, the role of passivation layer consisted of Ti-S compound with XPS results. From XPS results, the role of passivation layer consisted of Ti-S compound has been proposed. Ti-S compound seems to make a role of passivation layer that surpresses Ti-O formation.ion.

  • PDF

The Patterning of Polyimide Thin Films for the Additive $CF_4$ gas ($CF_4$ 첨가에 따른 polyimide 박막의 패터닝 연구)

  • Kang, Pil-Seung;Kim, Chang-Il;Kim, Sang-Gi
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2001.11b
    • /
    • pp.209-212
    • /
    • 2001
  • Polyimide(PI) films have been considered as the interlayer dielectric materials due to low dielectric constant, low water absorption, high gap-fill and planarization capability. The PI mm Was etched with using inductively coupled plasma (ICP). The etching characteristics such as etch rate and selectivity were evaluated to gas mixing ratio. High etch rate was $8300{\AA}/min$ and vertical profile was approximately acquired $90^{\circ}$ at $CF_{4}/(CF_{4}+O_{2})$ of 0.2. The selectivies of polyimide to PR and $SiO_{2}$ were 1.2, 5.9, respectively. The etching profiles of PI films with an aluminum pattern were measured by a scanning electron microscope (SEM). The chemical states on the PI film surface were investigated by x-ray photoelectron spectroscopy (XPS). Radical densities of oxygen and fluorine in different gas mixing ratio of $O_{2}/CF_{4}$ were investigated by optical emission spectrometer (OES).

  • PDF

A Study on the Etching Characteristics of $YMnO_3$ Thin Films in High Density $Cl_2$/Ar Plasma (고밀도 $Cl_2$/Ar 플라즈마를 이용한 $YMnO_3$ 박막의 식각 특성에 관한 연구)

  • 민병준;김창일;장의구
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.11a
    • /
    • pp.21-24
    • /
    • 2000
  • Ferroelectric YMnO$_3$ thin films are excellent dielectric materials for high integrated ferroelectric random access memory (FRAM) with metal-ferroelectric-silicon field effect transistor (MFSFET) structure. In this study, YMnO$_3$ thin films were etched with C1$_2$/Ar gas chemistries in inductively coupled plasma (ICP). The maximum etch rate of YMnO$_3$ thin films is 285 $\AA$/min under C1$_2$/Ar of 10/0, 600 W/-200 V and 15 mTorr. The selectivities of YMnO$_3$ over CeO$_2$ and $Y_2$O$_3$ are 2.85, 1.72, respectively. The results of x-ray photoelectron spectroscopy (XPS) reflect that Y is removed dominantly by chemical reaction between Y and Cl, while Mn is removed more effective by Ar ion bombardment than chemical reaction. The results of secondary ion mass spectrometer (SIMS) were equal to these of XPS. The etch profile of the etched YMnO$_3$ film is approximately 65$^{\circ}$and free of residues at the sidewall.

  • PDF