• Title/Summary/Keyword: Cycle simulator

Search Result 161, Processing Time 0.027 seconds

A Study on Efficient Training Methods by Analyzing Differences inSpatial Disorientation Recovery according to Pilot Experience (조종사 경력별 공간정위상실(SD) 회복 차이 분석을 통한효율적인 훈련방안에 대한 연구)

  • Se-Jun Kim;Young-Jin Cho
    • Journal of the Korean Society for Aviation and Aeronautics
    • /
    • v.31 no.2
    • /
    • pp.18-24
    • /
    • 2023
  • According to the results of a survey by Boeing, LOC-I (Loss of Control in Flight) was the highest in the number of deaths by fatality accident category in the past 10 years from 2012 to 2021, and the number of deaths worldwide due to LOC-I accidents was 757. It turned out to be the biggest cause of aircraft fatalities, with a figure close to twice the sum of UNK (Unknown or Undetermined), which is the 2nd place, and CFIT (Controlled Flight Into or Toward Terrain), which is the 3rd place. This study set six scenarios related to spatial disorientation that may occur during sensory-dependent flight targeting student pilots and instructor pilots at domestic designated specialized educational institutions using flight simulation training equipment, and in each scenario, the pilot's. The need for SDRT (Spatial Disorientation Recovery Training) is verified by analyzing the flight experience and recovery ability by qualification, and SDRT is repeatedly performed to verify and present the training cycle and time.

Study on the Design Computing Model for SpO Extraction Algorithm on Pulse Oximetry (펄스 옥시메터의 산소포화도 추출 알고리즘을 위한 계산모델 설계에 관한 연구)

  • Kim, Yun-Yeong;Kim, Do-Cheol;Lee, Yun-Seon
    • Journal of Biomedical Engineering Research
    • /
    • v.19 no.1
    • /
    • pp.25-32
    • /
    • 1998
  • This paper is based on the design and analysis computing model of oxygen saturation with the pulse oximeter using the integral ratio of pulsating components. In our proposed algorithm. we modeled the transmitted optical signal in fingertip or earlobe to DC component $A_{dc}$ pulsating component $A_a\;Sinwt$, noise component $A_{noise}$ and etc.. To separate the pulsating components and DC components efficiently, we defined the signal average to DC components. Also we presented the way to eliminate the noise using integral ratio. To acquire a linearity of correlation graph for pulsating components ratios and non invasive oxygen saturation. we intensively observed on the oxygen saturations in the range of 75-100% in consideration of the error range of simulator. Also, for real time processing we experimented on changing the period of area calculating cycle from 1 to 6. The functional evaluation of the algorithm is compared with the method using the amplitude ratio of pulsating components frequently seen with pulse oximeter. The result was that our algorithm with 4 cycles of area calculating cycle which considered to be best fit by 1% to the existing method. Moreover r , the decision coefficient showing the correlation of regression graph with real data, proved better result of 0.985 than 0.970.

  • PDF

Energy-Performance Efficient 2-Level Data Cache Architecture for Embedded System (내장형 시스템을 위한 에너지-성능 측면에서 효율적인 2-레벨 데이터 캐쉬 구조의 설계)

  • Lee, Jong-Min;Kim, Soon-Tae
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.37 no.5
    • /
    • pp.292-303
    • /
    • 2010
  • On-chip cache memories play an important role in both performance and energy consumption points of view in resource-constrained embedded systems by filtering many off-chip memory accesses. We propose a 2-level data cache architecture with a low energy-delay product tailored for the embedded systems. The L1 data cache is small and direct-mapped, and employs a write-through policy. In contrast, the L2 data cache is set-associative and adopts a write-back policy. Consequently, the L1 data cache is accessed in one cycle and is able to provide high cache bandwidth while the L2 data cache is effective in reducing global miss rate. To reduce the penalty of high miss rate caused by the small L1 cache and power consumption of address generation, we propose an ECP(Early Cache hit Predictor) scheme. The ECP predicts if the L1 cache has the requested data using both fast address generation and L1 cache hit prediction. To reduce high energy cost of accessing the L2 data cache due to heavy write-through traffic from the write buffer laid between the two cache levels, we propose a one-way write scheme. From our simulation-based experiments using a cycle-accurate simulator and embedded benchmarks, the proposed 2-level data cache architecture shows average 3.6% and 50% improvements in overall system performance and the data cache energy consumption.

Instructions and Data Prefetch Mechanism using Displacement History Buffer (변위 히스토리 버퍼를 이용한 명령어 및 데이터 프리페치 기법)

  • Jeong, Yong Su;Kim, JinHyuk;Cho, Tae Hwan;Choi, SangBang
    • Journal of the Institute of Electronics and Information Engineers
    • /
    • v.52 no.10
    • /
    • pp.82-94
    • /
    • 2015
  • In this paper, we propose hardware prefetch mechanism with an efficient cache replacement policy by giving priority to the trigger block in which a spatial region and producing a spatial region by using the displacement field. It could be taken into account the sequence of the program since a history is based on the trigger block of history record, and it could be quickly prefetching the instructions or data address by adding a stored value to the trigger address and displacement field since a history is stored as a displacement value. Also, we proposed a method of replacing at random by the cache replacement policy from the low priority block when the cache area is full after giving priority to the trigger block. We analyzed using the memory simulator program gem5 and PARSEC benchmark to assess the performance of the hardware prefetcher. As a result, compared to the existing hardware prefecture to generate the spatial region using a bit vector, L1 data cache miss rate was reduced about 44.5% on average and an average of 26.1% of L1 instruction misses occur. In addition, IPC (Instruction Per Cycle) showed an improvement of about 23.7% on average.

Design Criteria of Traffic Island Considering Pedestrian LOS (보행자 서비스 수준을 고려한 교통섬 설계기준 연구)

  • Park, Byung Ho;Beak, Tae Hun;Jung, Yong Il
    • Journal of Korean Society of Transportation
    • /
    • v.30 no.5
    • /
    • pp.23-31
    • /
    • 2012
  • The objective of this study is to develop the design criteria of traffic island considering pedestrian level of service (LOS). In pursuing the above, this study gives particular emphasis to suggesting the minimum design space of traffic island in order to maintain pedestrian LOS C and D, and the critical pedestrian traffic volume that reflects the intersection geometry (2 lanes per direction) through the simulation analysis. The main results are as follows. First, the spaces of 160 traffic islands, which meet the pedestrian LOS C and D and reflects the pedestrian traffic volume by signal cycle, are drawn by using a commercial simulator VISSIM. The relevant spaces of traffic island in terms of both the pedestrian LOS and the pedestrian traffic volume are evaluated to range from $3.0m^2$ to $41m^2$. Second, the critical pedestrian traffic volume for the operation of traffic island is evaluated to be 1,000-1,300 person/hour at LOS C and 1,600-1,800 person/hour at LOS D, respectively, when a cycle of 120-150 seconds were applied to a intersection with two lanes per direction.

Performance Analysis of Shell Coal Gasification Combined Cycle systems (Shell 석탄가스화 복합발전 시스템의 성능해석 연구)

  • Kim, Jong-Jin;Park, Moung-Ho;Song, Kyu-So;Cho, Sang-Ki;Seo, Seok-Bin;Kim, Chong-Young
    • Journal of Energy Engineering
    • /
    • v.6 no.1
    • /
    • pp.104-113
    • /
    • 1997
  • This study aims to develop an analysis model using a commercial process simulator-ASPEN PLUS for an IGCC (Integrated Gasification Combined Cycle) system consisting a dry coal feeding, oxygen-blown entrained gasification process by Shell, a low temperature gas clean up process, a General Electric MS7001FA gas turbine, a three pressure, natural recirculation heat recovery steam generator, a regenerative, condensing steam turbine and a cryogenic air separation unit. The comparison between those results of this study and reference one done by other engineer at design conditions shows consistency which means the soundness of this model. The greater moisture contents in Illinois#6 coal causes decreasing gasifier temperature and the greater ash and sulfur content hurt system efficiency due to increased heat loss. As the results of sensitivity analysis using developed model for the parameters of gasifier operating pressure, steam/coal ratio and oxygen/coal ratio, the gasifier temperature increases while combustible gases (CO+H2) decreases throughout the pressure going up. In the steam/coal ratio analysis, when the feeding steam increases the maximum combustible gas generation point moves to lower oxygen/coal ratio feeding condition. Finally, for the oxygen/coal ratio analysis, it shows oxygen/coal ratio 0.77 as a optimum operating condition at steam/coal feeding ratio 0.2.

  • PDF

UAV SAR Target Detection Modeling Using STK (STK를 이용한 UAV SAR 목표물 탐지기법)

  • Hwang, Sung-Uk;Kim, Ah-Leum;Song, Jung-Hwan;Lee, Woo-Kyung
    • Journal of Satellite, Information and Communications
    • /
    • v.4 no.2
    • /
    • pp.12-19
    • /
    • 2009
  • In the modern UAV systems, the role of radar payload has been increasing with its unique performance of day-and-night operation and see-through capability over hidden obstacles. Contrary to the satellite reconnaissance, UAV is expected to provide high resolution target detection and recognition capability while frequent flight missions would deliver enhanced SAR image and local information over the target area. STK(Satellite Tool Kit) is a professional space-analysis software widely used in all phases of a space system's life cycle. The simulation of STK is efficient and accurate relatively. In this paper, the author attempt to model the UAV operation and measure the expected SAR image quality. STK(Satellite Tool Kit) is employed to analyze UAV operation and produce SAR raw data. A SAR simulator is developed to produce high resolution SAR image for various ground targets.

  • PDF

Nano-Powder 제조를 위한 RF Thermal Plasma Torch System 개발 및 Nano-Si 특성 연구

  • Song, Seok-Gyun;Son, Byeong-Gu;Kim, Byeong-Hun;Lee, Mun-Won;Sin, Myeong-Seon;Choe, Seon-Yong;Kim, Seong-In
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.08a
    • /
    • pp.179-179
    • /
    • 2012
  • 국내에는 나노 분말 제조를 위한 RF 열플라즈마 시스템 제조 기술이 확보되어 있지 않고, 또한 나노 파우더 제조를 위한 공정 기술 역시 외국 업체에 전적으로 의존하고 있다. 본 연구에서는 나노 분말 제조를 위한 RF 열 플라즈마 토치 시스템 개발과 고품질의 나노 파우더 합성 공정 기술을 확립하여 필요 기관에 제공하는데 있다. 80 kW RF Plasma torch system의 설계 및 제작을 위해 플라즈마 Simulator인 CFD-ACE+를 이용하여 플라즈마 토치 및 반응로 내의 온도 분포, 유체 유동, 열전달 등의 해석을 통해 플라즈마 토치 및 반응로의 반경 및 길이, 구조의 설계 값을 도출하여 반응로를 설계하여 RF 파워, RF 플라즈마 토치(Torch), 반응기(Reactor), 사이클론(Cyclone), 포집부(Collector), 열교환기 및 진공배기 시스템으로 구성하였다. Si 나노 소재의 경우, 이차전지 음극재에 적용이 가능한 대표적인 소재로서 높음 비용량과 충/방전시 부피팽창을 감소시킬 수 있어 이차전지의 고용량 구현을 위해서는 가장 중요한 소재중 하나로 많은 관심 재료로 평가 받고 있다. 따라서 본 연구에서는 상용화된 Si 원료 powder를 사용하여 고상 분체 공급 장치를 통하여 고온의 플라즈마를 통과시켜 기상화 및 결정화과정을 통해 Si 나노분말을 제조하였다. 공정 변수로서 공정압력 및 플라즈마 power, Gas의 변화량에 따른 나노 분말의 제조 특성에 대한 실험을 진행한 후 제조된 나노 분말을 비표면적측정(BET) 및 SEM 측정 결과 분석을 통하여 시스템 특성을 파악하였으며 제조된 Si 나노 파우더는 이차전지 음극재로서 770 mAh/g의 용량과 93%@50 cycle 수준의 유지율을 나타내었다.

  • PDF

An Investigation on the Microstructure Evolution and Tensile Property in the Weld Heat-Affected Zone of Austenitic FeMnAlC Lightweight Steels (오스테나이트계 FeMnAlC 경량철강의 용접열영향부 미세조직 변화 및 인장특성에 관한 연구)

  • Moon, Joonoh;Park, Seong-Jun
    • Journal of Welding and Joining
    • /
    • v.35 no.1
    • /
    • pp.9-15
    • /
    • 2017
  • IMicrostructure evolution and tensile property in the weld heat-affected zone (HAZ) of austenitic Fe-30Mn-9Al-0.9C lightweight steels were investigated. Five alloys with different V and Nb content were prepared by vacuum induction melting and hot rolling process. The HAZ samples were simulated by a Gleeble simulator with welding condition of 300kJ/cm heat input and HAZ peak temperatures of $1150^{\circ}C$ and $1250^{\circ}C$. Microstructures of base steels and HAZ samples were observed by scanning electron microscopy (SEM) and transmission electron microscopy (TEM), and their mechanical properties were evaluated by tensile tests. The addition of V and Nb formed fine V and/or Nb-rich carbides, and these carbides increased tensile and yield strength of base steels by grain refinement and precipitation hardening. During thermal cycle for HAZ simulation, the grain growth occurred and the ordered carbide (${\kappa}-carbide$) formed in the HAZs. The yield strength of HAZ samples (HAZ 1) simulated in $1150^{\circ}C$ peak temperature was higher as compared to the base steel due to the formation of ${\kappa}-carbide$, while the yield strength of the HAZ samples (HAZ 2) simulated in $1250^{\circ}C$ decreased as compared to HAZ 1 due to the excessive grain growth.

Effects of Microstructural States on Magnetic Barkhausen Noise Behavior in the Weld Heat-Affected Zone of Reactor Pressure Vessel Steel (원자로압력용기강 용접열영향부의 미세조직 변화가 Magnetic Barkhausen Noise 거동에 미치는 영향)

  • Kim, Joo-Hag;Yoon, Eui-Pak;Moon, Jong-Gul;Park, Duck-Gun;Hong, Jun-Hwa
    • Journal of the Korean Society for Nondestructive Testing
    • /
    • v.18 no.4
    • /
    • pp.292-303
    • /
    • 1998
  • Recent study has demonstrated that some magnetic properties are sensitive to the microstructural state of material. The ASTM A 508 Gr. 3 reactor pressure vessel steel has various microstructural changes including martensitic and bainitic phases, and various sizes of grain and precipitates in the weld heat-affected zone (HAZ). To correlate the microstructural state with Barkhausen noise (BN), specimens were prepared through simulating various weld thermal cycles using a thermal simulator. The conventional magnetic properties, i.e. coercive force, remanence and maximum induction, did not change significantly, whereas the BN amplitude and energy during a magnetization cycle changed markedly with microstructural state. The BN increased with increasing grain and carbide sizes, and the tempered bainite structure showed higher BN parameter than tempered martensite.

  • PDF