• Title/Summary/Keyword: Cu Chemical Mechanical Polishing

Search Result 64, Processing Time 0.024 seconds

The Cu-CMP's features regarding the additional volume of oxidizer (산화제 배합비에 따른 연마입자 크기와 Cu-CMP의 특성)

  • Kim, Tae-Wan;Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.20-23
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing(CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical polishing(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commercial slurries pads, and post-CMP cleaning alternatives are discuss, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper deposition is a mature process from a historical point of view, but a very young process from a CMP perspective. While copper electro deposition has been used and studied for decades, its application to Cu damascene wafer processing is only now gaining complete acceptance in the semiconductor industry. The polishing mechanism of Cu-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper passivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

The Effect of Citric Acid on Copper Chemical Mechanical Polishing (구연산이 Copper Chemical Mechanical Polishing에 미치는 영향)

  • Jung, Won-Duck;Park, Boum-Young;Lee, Hyun-Seop;Lee, Sang-Jic;Chang, One-Moon;Park, Sung-Min;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.565-566
    • /
    • 2006
  • Slurry used in metal chemical mechanical polishing normally consists of an oxidizer, a complexing agent, a corrosion inhibitor and an abrasive. This paper investigates effects of citric acid as a complexing agent for Cu CMP with $H_2O_2$ as an oxidizer. In order to study chemical effects of a citric acid, x-ray photoelectron spectroscopy were performed on Cu sample after Cu etching test. XPS results reveal that CuO, $Cu(OH)_2$ layer decrease but Cu/$Cu_2O$ layer increase on Cu sample surface. To investigate nanomechanical properties of Cu sample surface, nanoindentation was performed on Cu sample. Results of nanoindentation indicate wear resistance of Cu Surface decrease. According to decrease of wear resistance on Cu surface, removal rate increases from $285\;{\AA}/min$ to $8645\;{\AA}/min$ in Cu CMP.

  • PDF

The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry (W-slurry의 산화제 첨가량에 따른 Cu-CMP특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

Effects of Citric Acid as a Complexing Agent on Material Removal in Cu CMP (Cu CMP에서 Citric Acid가 재료 제거에 미치는 영향)

  • Jung Won-Duck;Park Boum-Young;Lee Hyun-Seop;Jeong Hea-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.19 no.10
    • /
    • pp.889-893
    • /
    • 2006
  • Chemical mechanical polishing (CMP) achieves surface planrity through combined mechanical and chemical means. The role of slurry is very important in metal CMP. Slurry used in metal CMP normally consists of oxidizers, complexing agents, corrosion inhibitors and abrasives. This paper investigates the effects of citric acid as a complexing agent for Cu CMP with $H_2O_2$. In order to study chemical effects of citric acid, X-ray photoelectron spectroscopy (XPS) was peformed on Cu sample after etching test. XPS results reveal that CuO, $Cu(OH)_2$ layer decrease but $CU/CU_2O$ layer increase on Cu sample surface. To investigate nanomechanical properties of Cu sample surface, nanoindentation was performed on Cu sample. Results of nanoindentation indicate wear resistance of Cu surface decrease. According to decrease of wear resistance on Cu surface removal rate increases from $285\;{\AA}/min\;to\;8645\;{\AA}/min$ in Cu CMP.

Analysis of the Lubricational Characteristics for Chemical-Mechanical Polishing Process (화학기계적 연마 가공에서의 윤활 특성 해석)

  • 박상신;조철호;안유민
    • Tribology and Lubricants
    • /
    • v.15 no.1
    • /
    • pp.90-97
    • /
    • 1999
  • Chemical-Mechanical Polishing (CMP) refers to a material removal process done by rubbing a work piece against a polishing pad under load in the presence of chemically active, abrasive containing slurry. CU process is a combination of chemical dissolution and mechanical action. The mechanical action of CMP involves tribology. The liquid slurry is trapped between the wafer (work piece) and pad (tooling) forming a lubricating film. For the first step to understand material removal rate of the CMP process, the lubricational analyses were done with commercial 100mm diameter silicon wafers to get nominal clearance of the slurry film, roll and pitch angle at the steady state. For this purpose, we calculate slurry pressure, resultant forces and moments at the steady state in the range of typical industrial polishing conditions.

Planarizaiton of Cu Interconnect using ECMP Process (전기화학 기계적 연마를 이용한 Cu 배선의 평탄화)

  • Jeong, Suk-Hoon;Seo, Heon-Deok;Park, Boum-Young;Park, Jae-Hong;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.3
    • /
    • pp.213-217
    • /
    • 2007
  • Copper has been used as an interconnect material in the fabrication of semiconductor devices, because of its higher electrical conductivity and superior electro-migration resistance. Chemical mechanical polishing(CMP) technique is required to planarize the overburden Cu film in an interconnect process. Various problems such as dishing, erosion, and delamination are caused by the high pressure and chemical effects in the Cu CMP process. But these problems have to be solved for the fabrication of the next generation semiconductor devices. Therefore, new process which is electro-chemical mechanical polishing(ECMP) or electro-chemical mechanical planarization was introduced to solve the technical difficulties and problems in CMP process. In the ECMP process, Cu ions are dissolved electrochemically by the applying an anodic potential energy on the Cu surface in an electrolyte. And then, Cu complex layer are mechanically removed by the mechanical effects between pad and abrasive. This paper focuses on the manufacturing of ECMP system and its process. ECMP equipment which has better performance and stability was manufactured for the planarization process.

Effect of Amine Functional Group on Removal Rate Selectivity between Copper and Tantalum-nitride Film in Chemical Mechanical Polishing

  • Cui, Hao;Hwang, Hee-Sub;Park, Jin-Hyung;Paik, Ungyu;Park, Jea-Gun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.546-546
    • /
    • 2008
  • Copper (Cu) Chemical mechanical polishing (CMP) has been an essential process for Cu wifing of DRAM and NAND flash memory beyond 45nm. Copper has been employed as ideal material for interconnect and metal line due to the low resistivity and high resistant to electro-migration. Damascene process is currently used in conjunction with CMP in the fabrication of multi-level copper interconnects for advanced logic and memory devices. Cu CMP involves removal of material by the combination of chemical and mechanical action. Chemicals in slurry aid in material removal by modifying the surface film while abrasion between the particles, pad, and the modified film facilitates mechanical removal. In our research, we emphasized on the role of chemical effect of slurry on Cu CMP, especially on the effect of amine functional group on removal rate selectivity between Cu and Tantalum-nitride (TaN) film. We investigated the two different kinds of complexing agent both with amine functional group. On the one hand, Polyacrylamide as a polymer affected the stability of abrasive, viscosity of slurry and the corrosion current of copper film especially at high concentration. At higher concentration, the aggregation of abrasive particles was suppressed by the steric effect of PAM, thus showed higher fraction of small particle distribution. It also showed a fluctuation behavior of the viscosity of slurry at high shear rate due to transformation of polymer chain. Also, because of forming thick passivation layer on the surface of Cu film, the diffusion of oxidant to the Cu surface was inhibited; therefore, the corrosion current with 0.7wt% PAM was smaller than that without PAM. the polishing rate of Cu film slightly increased up to 0.3wt%, then decreased with increasing of PAM concentration. On the contrary, the polishing rate of TaN film was strongly suppressed and saturated with increasing of PAM concentration at 0.3wt%. We also studied the electrostatic interaction between abrasive particle and Cu/TaN film with different PAM concentration. On the other hand, amino-methyl-propanol (AMP) as a single molecule does not affect the stability, rheological and corrosion behavior of the slurry as the polymer PAM. The polishing behavior of TaN film and selectivity with AMP appeared the similar trend to the slurry with PAM. The polishing behavior of Cu film with AMP, however, was quite different with that of PAM. We assume this difference was originated from different compactness of surface passivation layer on the Cu film under the same concentration due to the different molecular weight of PAM and AMP.

  • PDF

Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment (중성 영역 구리 화학적 기계적 평탄화 공정에서의 작용기에 따른 부식방지제의 영향성 연구)

  • Lee, Sang Won;Kim, Jae Jeong
    • Korean Chemical Engineering Research
    • /
    • v.53 no.4
    • /
    • pp.517-523
    • /
    • 2015
  • As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base-corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

The effect of buffing on particle removal in Post-Cu CMP cleaning (Post-Cu CMP cleaning에서 연마입자 제거에 buffing 공정이 미치는 영향)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.537-537
    • /
    • 2008
  • Copper (Cu) has been widely used for interconnection structure in intergrated circuits because of its properties such as a low resistance and high resistance to electromigration compared with aluminuim. Damascene processing for the interconnection structure utilizes 2-steps chemical mechanical polishing(CMP). After polishing, the removal of abrasive particles on the surfaces becomes as important as the polishing process. In the paper, buffing process for the removal of colloidal silica from polished Cu wafer was proposed and demonstrated.

  • PDF

An Analysis on the Material Removal Mechanism of Chemical-Mechanical Polishing Process Part II: Dynamic Simulation (화학-기계적 연마 공정의 물질제거 메커니즘 해석 Part II: 동적 시뮬레이션)

  • Seok, Jong-Won;Oh, Seung-Hee
    • Journal of the Semiconductor & Display Technology
    • /
    • v.6 no.3
    • /
    • pp.1-6
    • /
    • 2007
  • The integrated thermal-chemical-mechanical (TCM) material removal model presented in the companion paper is dynamically simulated in this work. The model is applied to a Cu CMP process for the simulation and the results of the three individual ingredients composing the model are presented separately first. These results are then incorporated to calculate the total material removal rate (MRR) of the Cu CMP. It is shown that the non-linear trend of MRR with respect to the applied mechanical power (i.e., non-Prestonian behavior), which is not well explained with the models established in principle on conventional contact mechanics, may be due to the chemical reaction(s) varying non-linearly with the temperature in the wafer.

  • PDF