• Title/Summary/Keyword: Cu CMP slurry

Search Result 59, Processing Time 0.027 seconds

Titration methods of $H_2O_2$ in Cu/TaN CMP (Cu/TaN CMP시 $H_2O_2$ 적정방법)

  • Yoo, Hae-Young;Kim, Nam-Hoon;Kim, Sang-Yong;Kim, Tae-Hyung;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.04b
    • /
    • pp.38-41
    • /
    • 2004
  • The oxidizer plays an important role in the metal chemical mechanical polishing(CMP) slurry. Currently, the oxidizer used in CMP slurry is nearly divided into several kinds such as $Fe(NO_3)_3$, $H_2O_2$, $KIO_3$, and $H_5IO_6$. It is generally known that oxidizer character of $H_2O_2$ is more effective than other oxidizers. In this work, we have been studied the characteristics for the $H_2O_2$ concentration of copper slurry, which can applicable in the recent semiconductor manufacturing process. Also, it plays an important role in the planarization of copper films using copper slurries during micro-electronic device fabrication. In this work, we confirmed that removal rate of Cu/TaN changed by $H_2O_2$ concentration on copper slurry. And we used $KMnO_4$ in the measurement method of $H_2O_2$. In analysis results, we confirmed that the difference of results is large. We thought that the difference was due to organic component existence. So in titration method of $H_2O_2$ concentration, we used $Na_2S_2O_3$ instead of $KMnO_4$ as solution. Consequently, using the titration method, we could calculate correct data reduced error. And $H_2O_2$ concentration has been adjusted to the target concentration of 0.1 wt%.

  • PDF

Cu CMP Property by Addition of Corrosion Inhibitor and Complexing Agent (부식 방지제와 Complexing Agent 첨가에 따른 Cu CMP 특성)

  • Kim, In-Pyo;Kim, Nam-Hoon;Kim, Sang-Yong;Lee, Cheol-In;Eom, Joon-Cheol;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.343-346
    • /
    • 2003
  • A systematic study of Cu CMP in terms of the effect of slurry chemicals(oxidizer, corrosion inhibitor, complexing agent) on the process characteristics has been performed. In acidic media, a corrosion inhibitor, benzotriazole(BTA) and tolytriazol(TTA) was used to control the removal rate and avoid isotropic etching. When complexing agent is added with $H_2O_2$ 2wt% in the slurry, a corrosion rate was presented very good. Most of in, it was appeared that BTA is possible to be replaced by TTA. The tartaric acid was distinguished for the effect among complexing agents. n we apply this results to copper CMP process, it is thought that we will be able to obtain better yield.

  • PDF

The Cu-CMP's features regarding the additional volume of oxidizer (산화제 배합비에 따른 연마입자 크기와 Cu-CMP의 특성)

  • Kim, Tae-Wan;Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07a
    • /
    • pp.20-23
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing(CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical polishing(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commercial slurries pads, and post-CMP cleaning alternatives are discuss, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper deposition is a mature process from a historical point of view, but a very young process from a CMP perspective. While copper electro deposition has been used and studied for decades, its application to Cu damascene wafer processing is only now gaining complete acceptance in the semiconductor industry. The polishing mechanism of Cu-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper passivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

Application of Hydrogen Peroxide for Alumina Slurry Stability in Cu CMP (구리CMP공정시 알루미나 슬러리 안정성을 위한 Hydrogen peroxide의 적용)

  • Lee, Do-Won;Kim, Nam-Hoon;Kim, In-Pyo;Kim, Sang-Yong;Kim, Tae-Hyoung;Seo, Yong-Jin;Chang, Eui-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.11a
    • /
    • pp.136-139
    • /
    • 2003
  • Copper has attractive properties as a multi-level interconnection material due to lower resistivity and higher electromigration resistance as compared with Alumina and its alloy with Copper(0.5%). Among a variety of agents in Copper CMP slurry, $H_2O_2$ has commonly been used as the oxidizer However. $H_2O_2$ is so unstable that it requires stabilization to use as oxidizer Hence, stabilization of $H_2O_2$ is a vital process to get better yield in practical CMP process. In this article the stability of Hydrogen Peroxide as oxidizer of Copper CMP slurry has been investigated. When alumina abrasive was used, $\gamma$-particle Alumina C had a better stability than $\alpha$-particle abrasive. As adding KOH as pH buffering agent, $H_2O_2$ stability in slurry decreased. Urea hydrogen peroxide was used as oxidizer, an enhanced stability was gotten. When $H_3PO_4$ as $H_2O_2$ stabilizer was added, the decrease of $H_2O_2$ concentration in slurry became slower. Even though adding $H_2O_2$ in slurry after bead milling lead to better stability than in advance of bead milling, it had a lower dispersibility.

  • PDF

Analysis of the Lubricational Characteristics for Chemical-Mechanical Polishing Process (화학기계적 연마 가공에서의 윤활 특성 해석)

  • 박상신;조철호;안유민
    • Tribology and Lubricants
    • /
    • v.15 no.1
    • /
    • pp.90-97
    • /
    • 1999
  • Chemical-Mechanical Polishing (CMP) refers to a material removal process done by rubbing a work piece against a polishing pad under load in the presence of chemically active, abrasive containing slurry. CU process is a combination of chemical dissolution and mechanical action. The mechanical action of CMP involves tribology. The liquid slurry is trapped between the wafer (work piece) and pad (tooling) forming a lubricating film. For the first step to understand material removal rate of the CMP process, the lubricational analyses were done with commercial 100mm diameter silicon wafers to get nominal clearance of the slurry film, roll and pitch angle at the steady state. For this purpose, we calculate slurry pressure, resultant forces and moments at the steady state in the range of typical industrial polishing conditions.

Effect of Post-CMP Cleaning On Electrochemical Characteristics of Cu and Ti in Patterned Wafer

  • Noh, Kyung-Min;Kim, Eun-Kyung;Lee, Yong-Keun;Sung, Yun-Mo
    • Korean Journal of Materials Research
    • /
    • v.19 no.3
    • /
    • pp.174-178
    • /
    • 2009
  • The effects of post-CMP cleaning on the chemical and galvanic corrosion of copper (Cu) and titanium (Ti) were studied in patterned silicon (Si) wafers. First, variation of the corrosion rate was investigated as a function of the concentration of citric acid that was included in both the CMP slurry and the post-CMP solution. The open circuit potential (OCP) of Cu decreased as the citric acid concentration increased. In contrast with Cu, the OCP of titanium (Ti) increased as this concentration increased. The gap in the OCP between Cu and Ti increased as citric acid concentration increased, which increased the galvanic corrosion rate between Cu and Ti. The corrosion rates of Cu showed a linear relationship with the concentrations of citric acid. Second, the effect of Triton X-$100^{(R)}$, a nonionic surfactant, in a post-CMP solution on the electrochemical characteristics of the specimens was also investigated. The OCP of Cu decreased as the surfactant concentration increased. In contrast with Cu, the OCP of Ti increased greatly as this concentration increased. Given that Triton X-$100^{(R)}$ changes its micelle structure according to its concentration in the solution, the corrosion rate of each concentration was tested.

Evaluation of Al CMP Slurry based on Abrasives for Next Generation Metal Line Fabrication (연마제 특성에 따른 차세대 금속배선용 Al CMP (chemical mechanical planarization) 슬러리 평가)

  • Cha, Nam-Goo;Kang, Young-Jae;Kim, In-Kwon;Kim, Kyu-Chae;Park, Jin-Goo
    • Korean Journal of Materials Research
    • /
    • v.16 no.12
    • /
    • pp.731-738
    • /
    • 2006
  • It is seriously considered using Al CMP (chemical mechanical planarization) process for the next generation 45 nm Al wiring process. Al CMP is known that it has a possibility of reducing process time and steps comparing with conventional RIE (reactive ion etching) method. Also, it is more cost effective than Cu CMP and better electrical conductivity than W via process. In this study, we investigated 4 different kinds of slurries based on abrasives for reducing scratches which contributed to make defects in Al CMP. The abrasives used in this experiment were alumina, fumed silica, alkaline colloidal silica, and acidic colloidal silica. Al CMP process was conducted as functions of abrasive contents, $H_3PO_4$ contents and pressures to find out the optimized parameters and conditions. Al removal rates were slowed over 2 wt% of slurry contents in all types of slurries. The removal rates of alumina and fumed silica slurries were increased by phosphoric acid but acidic colloidal slurry was slightly increased at 2 vol% and soon decreased. The excessive addition of phosphoric acid affected the particle size distributions and increased scratches. Polishing pressure increased not only the removal rate but also the surface scratches. Acidic colloidal silica slurry showed the highest removal rate and the lowest roughness values among the 4 different slurry types.

Optimization of Removal Rates with Guaranteed Dispersion Stability in Copper CMP Slurry

  • Kim Tae-Gun;Kim Nam-Hoon;Kim Sang-Yong;Chang Eui-Goo
    • Transactions on Electrical and Electronic Materials
    • /
    • v.5 no.6
    • /
    • pp.233-236
    • /
    • 2004
  • Copper metallization has been used in high-speed logic ULSI devices instead of the conventional aluminum alloy metallization. One of the key issues in copper CMP is the development of slurries that can provide high removal rates. In this study, the effects of slurry chemicals and pH for slurry dispersion stability on Cu CMP process characteristics have been performed. The experiments of copper slurries containing each different alumina and colloidal silica particles were evaluated for their selectivity of copper to TaN and $SiO_{2}$ films. Furthermore, the stability of copper slurries and pH are important parameters in many industries due to problems that can arise as a result of particle settling. So, it was also observed about several variables with various pH.

Analysis of Cu CMP according to Corrosion Inhibitor Concentration (Cu CMP에서 Corrosion Inhibitor에 의한 연마 특성 분석)

  • Joo, Suk-Bae;Lee, Hyun-Seop;Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.113-113
    • /
    • 2008
  • Cu CMP (Chemical Mechanical Planarization) has been used to remove copper film and obtain a planar surface which is essential for the semiconductor devices. Generally, it is known that chemical reaction is a dominant factor in Cu CMP comparing to Silicon dioxide CMP. Therefore, Cu CMP slurry has been regarded as an important factor in the entire process. This investigation focused on understanding the effect of corrosion inhibitor on copper surface and CMP results. Benzotriazole (BTA) was used as a corrosion inhibitor in this experiment. For the surface analysis, electrochemical characteristics of Cu was measured by a potentiostat and surface modification was investigated by X-ray photoelectron spectroscopy (XPS). As a result, corrosion potential (Ecorr) increased and nitrogen concentration ratio on the copper surface also increased with BTA concentration. These results indicate that BTA prevents Cu surface from corrosion and forms Cu-BTA layer on Cu surface. CMP results are also well matched with these results. Material removal rate (MRR) decreased with BTA concentration and static etch rate also showed same trend. Consequently, adjustment of BTA concentration can give us control of step height variation and furthermore, this can be applicable for Cu pattern CMP.

  • PDF

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang;Xu, Jin-Hai;Lu, Hong-Liang;Zhang, David Wei;Li, Pei
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.17 no.3
    • /
    • pp.319-325
    • /
    • 2017
  • Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.