• Title/Summary/Keyword: Copper metallization

Search Result 63, Processing Time 0.028 seconds

Characteristic of Copper Films on Molybdenum Substrate by Addition of Titanium in an Advanced Metallization Process (Mo 하지층의 첨가원소(Ti) 농도에 따른 Cu 박막의 특성)

  • Hong, Tae-Ki;Lee, Jea-Gab
    • Korean Journal of Materials Research
    • /
    • v.17 no.9
    • /
    • pp.484-488
    • /
    • 2007
  • Mo(Ti) alloy and pure Cu thin films were subsequently deposited on $SiO_2-coated$ Si wafers, resulting in $Cu/Mo(Ti)/SiO_2$ structures. The multi-structures have been annealed in vacuum at $100-600^{\circ}C$ for 30 min to investigate the outdiffusion of Ti to Cu surface. Annealing at high temperature allowed the outdiffusion of Ti from the Mo(Ti) alloy underlayer to the Cu surface and then forming $TiO_2$ on the surface, which protected the Cu surface against $SiH_4+NH_3$ plasma during the deposition of $Si_3N_4$ on Cu. The formation of $TiO_2$ layer on the Cu surface was a strong function of annealing temperature and Ti concentration in Mo(Ti) underlayer. Significant outdiffusion of Ti started to occur at $400^{\circ}C$ when the Ti concentration in Mo(Ti) alloy was higher than 60 at.%. This resulted in the formation of $TiO_2/Cu/Mo(Ti)\;alloy/SiO_2$ structures. We have employed the as-deposited Cu/Mo(Ti) alloy and the $500^{\circ}C-annealed$ Cu/Mo(Ti) alloy as gate electrodes to fabricate TFT devices, and then measured the electrical characteristics. The $500^{\circ}C$ annealed Cu/Mo($Ti{\geq}60at.%$) gate electrode TFT showed the excellent electrical characteristics ($mobility\;=\;0.488\;-\;0.505\;cm^2/Vs$, on/off $ratio\;=\;2{\times}10^5-1.85{\times}10^6$, subthreshold = 0.733.1.13 V/decade), indicating that the use of Ti-rich($Ti{\geq}60at.%$) alloy underlayer effectively passivated the Cu surface as a result of the formation of $TiO_2$ on the Cu grain boundaries.

Effect of the particle size on the electrical contact in selective electro-deposition of copper (구리의 선택적 전착에서 결정 입자의 크기가 전기적 접촉성에 미치는 영향)

  • Hwang, Kyu-Ho;Lee, Kyung-Il;Joo, Seung-Ki;Kang, Tak
    • Journal of the Korean Crystal Growth and Crystal Technology
    • /
    • v.1 no.2
    • /
    • pp.79-93
    • /
    • 1991
  • With the advent of ULSI, many problems in previous metallization techniques and interconnection materials have become more serious. In this work, selective deposition of copper to fill the submicron contact has been tried. After forming electro-deposited copper films on p-type (100) silicon wafer using 0.75M $CuSO_4{\cdot}$5H_2O$ as an electrolyte, the effect of deposition time, current density and concentration of an additive on film properties were investigated. Film thickness, particle size and resistivity were analyzed by Alpha Step, SEM and 4 - point probe measurement respectively. The deposition rate was about $0.5-0.6\mu\textrm{m}$/min at $2A/dm^2$ and the particle size increased with increasing current density. The resistivities of electro-deposited copper films were about $3-6{\mu}{\Omega}{\cdot}$cm for the particle size above $4000{\AA}$. By the addition of 0.2 g/l gelatin, the particle size was reduced to less than $0.1{\mu}m $ and selective plugging of copper on submicron contacts could be successfully achieved.

  • PDF

Cu dry etching by the reaction of Cu oxide with H(hfac) (Cu oxide의 형성과 H(hfac) 반응을 이용한 Cu 박막의 건식식각)

  • Yang, Hui-Jeong;Hong, Seong-Jin;Jo, Beom-Seok;Lee, Won-Hui;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.11 no.6
    • /
    • pp.527-532
    • /
    • 2001
  • Dry etching of copper film using $O_2$ plasma and H(hfac) has been investigated. A one-step process consisting of copper film oxidation with an $O_2$ plasma and the removal of surface copper oxide by the reaction with H(hfac) to form volatile Cu(hfac)$_2$ and $H_2O$ was carried but. The etching rate of Cu in the range from 50 to 700 /min was obtained depending on the substrate temperature, the H(hfac)/O$_2$ flow rate ratio, and the plasma power. The copper film etch rate increased with increasing RF power at the temperatures higher than 215$^{\circ}C$. The optimum H(hfac)/O$_2$ flow rate ratio was 1:1, suggesting that the oxidation process and the reaction with H(hfac) should be in balance. Cu patterning using a Ti mask was performed at a flow rate ratio of 1:1 on 25$0^{\circ}C$\ulcorner and an isotropic etching profile with a taper slope of 30$^{\circ}$was obtained. Cu dry patterning with a tapered angle which is necessary for the advanced high resolution large area thin film transistor liquid-crystal displays was thus successfully obtained from one step process by manipulating the substrate temperature, RF power, and flow rate ratio.

  • PDF

Electroless Plated Copper Thin Film for Metallization on Printed Circuit Board : Neutral Process (인쇄회로기판상의 금속 배선을 위한 구리 도금막 형성 : 무전해 중성공정)

  • Cho, Yang-Rae;Lee, Youn-Seoung;Rha, Sa-Kyun
    • Korean Journal of Materials Research
    • /
    • v.23 no.11
    • /
    • pp.661-665
    • /
    • 2013
  • We investigated the characteristics of electroless plated Cu films on screen printed Ag/Anodized Al substrate. Cu plating was attempted using neutral electroless plating processes to minimize damage of the anodized Al substrate; this method used sodium hypophosphite instead of formaldehyde as a reducing agent. The basic electroless solution consisted of $CuSO_4{\cdot}5H_2O$ as the main metal source, $NaH_2PO_2{\cdot}H_2O$ as the reducing agent, $C_6H_5Na_3O_7{\cdot}2H_2O$ and $NH_4Cl$ as the complex agents, and $NiSO_4{\cdot}6H_2O$ as the catalyser for the oxidation of the reducing agent, dissolved in deionized water. The pH of the Cu plating solutions was adjusted using $NH_4OH$. According to the variation of pH in the range of 6.5~8, the electroless plated Cu films were coated on screen printed Ag pattern/anodized Al/Al at $70^{\circ}C$. We investigated the surface morphology change of the Cu films using FE-SEM (Field Emission Scanning Electron Microscopy). The chemical composition of the Cu film was determined using XPS (X-ray Photoelectron Spectroscopy). The crystal structures of the Cu films were investigated using XRD (X-ray Diffraction). Using electroless plating at pH 7, the structures of the plated Cu-rich films were typical fcc-Cu; however, a slight Ni component was co-deposited. Finally, we found that the formation of Cu film plated selectively on PCB without any lithography is possible using a neutral electroless plating process.

Measurement of Local Elastic Properties of Flip-chip Bump Materials using Contact Resonance Force Microscopy (접촉 공진 힘 현미경 기술을 이용한 플립 칩 범프 재료의 국부 탄성계수 측정)

  • Kim, Dae-Hyun;Ahn, Hyo-Sok;Hahn, Junhee
    • Tribology and Lubricants
    • /
    • v.28 no.4
    • /
    • pp.173-177
    • /
    • 2012
  • We used contact resonance force microscopy (CRFM) technique to determine the quantitative elastic properties of multiple materials integrated on the sub micrometer scale. The CRFM approach measures the frequencies of an AFM cantilever's first two flexural resonances while in contact with a material. The plain strain modulus of an unknown or test material can be obtained by comparing the resonant spectrum of the test material to that of a reference material. In this study we examined the following bumping materials for flip chip by using copper electrode as a reference material: NiP, Solder (Sn-Au-Cu alloy) and under filled epoxy. Data were analyzed by conventional beam dynamics and contact dynamics. The results showed a good agreement (~15% difference) with corresponding values determined by nanoindentaion. These results provide insight into the use of CRFM methods to attain reliable and accurate measurements of elastic properties of materials on the nanoscale.

Ruthenium Thin Films Grown by Atomic Layer Deposition

  • Shin, Woong-Chul;Choi, Kyu-Jeong;Jung, Hyun-June;Yoon, Soon-Gil;Kim, Soo-Hyun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.12-12
    • /
    • 2008
  • Ruthenium is one of the noble metals having good thermal and chemical stability, low resistivity, and relatively high work function(4.71eV). Because of these good physical, chemical, and electrical properties, Ru thin films have been extensively studied for various applications in semiconductor devices such as gate electrode for FET, capacitor electrodes for dynamic random access memories(DRAMs) with high-k dielectrics such as $Ta_2O_5$ and (Ba,Sr)$TiO_3$, and capacitor electrode for ferroelectric random access memories(FRAMs) with Pb(Zr,Ti)$O_3$. Additionally, Ru thin films have been studied for copper(Cu) seed layers for Cu electrochemical plating(ECP) in metallization process because of its good adhesion to and immiscibility with Cu. We investigated Ru thin films by thermal ALD with various deposition parameters such as deposition temperature, oxygen flow rate, and source pulse time. Ru thin films were grown by ALD(Lucida D100, NCD Co.) using RuDi as precursor and $O_2$ gas as a reactant at 200~$350^{\circ}C$.

  • PDF

Diffusion barrier characteristics of molybdenum nitride films for ultra-large-scale-integrated Cu metallization(II); Effect of deposition conditions on diffusion barrier behavior of molybdenum nitride

  • Lee, Jeong-Joub;Lee, You-Kee;Jeon, Seok-Ryong;Kim, dong-Joon
    • Journal of Korean Vacuum Science & Technology
    • /
    • v.1 no.1
    • /
    • pp.30-37
    • /
    • 1997
  • Interactions of Cu films with Si substrates separated by thin layers of molybdenum and molybdenum nitride were investigated in the viewpoint of diffusion barrier to copper. the diffusion barrier behavior of the layers was studied as functions of deposition and annealing conditions by cross-sectional transmission electron microscopy and Nomarski microscopy. the layers deposited at $N_2$ gas ratios of 0.4 and 0.5 exhibited good diffusion barrier behaviors up to $700^{\circ}C$, mainly due to the phase transformation of molybdenum to $\gamma$-Mo$_2$N phase. The increase in the N gas ratio in deposition elevates the lower limit of barrier failure temperature. Futhermore, amorphous molybdenum nitride films deposited at 20$0^{\circ}C$ and 30$0^{\circ}C$ did not fail, while the crystalline $\gamma$-Mo$_2$N films deposited at 40$0^{\circ}C$ and 50$0^{\circ}C$ showed signs of interlayer interactions between Cu and Si after annealing at 75$0^{\circ}C$ for 30 minutes. Therefore, the amorphous nature of the molybdenum nitride layer enhanced its ability to reduce Cu diffusion and its stability as a diffusion barrier at elevated temperatures.

Atomic Layer Depositied Tungsten Nitride Thin Films as Diffusion Barrier for Copper Metallization

  • Hwang, Yeong-Hyeon;Lee, In-Hwan;Jo, Byeong-Cheol;Kim, Yeong-Hwan;Jo, Won-Ju;Kim, Yong-Tae
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.145-145
    • /
    • 2012
  • 반도체 집적회로의 집적도가 증가함에 따라 RC delay가 증가하며, 금속 배선의 spiking, electromigration 등의 문제로 인해 기존의 알루미늄 금속을 대체하기 위하여 구리를 배선재료로 사용하게 되었다. 하지만 구리는 실리콘 및 산화물 내에서 매우 빠른 확산도를 가지고 있으므로, 구리의 확산을 막아 줄 확산방지막이 필요로 하다. 이러한 확산방지막의 증착은, 소자의 크기가 작아짐에 따라 via/contact과 같은 고단차 구조에도 적용이 가능하도록 기존의 sputtering 증착 방법에서 이를 개선한 collimated sputter, long-throw sputter, ion-metal plasma 등의 방법으로 물리적인 증착법이 지속되어 왔지만, 근본적인 증착방법을 바꾸지 않는 한 한계에 도달하게 될 것이다. 원자층 증착법(ALD)은 CVD 증착법의 하나로, 소스와 반응물질을 주입하는 시간을 분리함으로써 증착하고자 하는 표면에서의 반응을 유도하여 원자층 단위로 원하는 박막을 얻을 수 있는 증착방법이다. 이를 이용하여 물리적 증기 증착법(PVD)보다 우수한 단차피복성과 함께 정교하게 증착두께를 컨트롤을 할 수 있다. 본 연구에서는 이러한 원자층 증착법을 이용하여 구리 배선을 위한 확산방지막으로 텅스텐질화막을 형성하였다. 텅스텐 질화막을 형성하기 위하여 금속-유기물 전구체와 함께 할라이드 계열인 WF6를 텅스텐 소스로 이용하였으며, 이에 대한 원자층 증착방법으로 이루어진 박막의 물성을 비교 평가하여 분석하였다.

  • PDF

Corrosion Protection of Plasma-Polymerized Cyclohexane Films Deposited on Copper

  • Park, Z.T.;Lee, J.H.;Choi, Y.S.;Ahn, S.H.;Kim, J.G.;Cho, S.H.;Boo, J.H.
    • Journal of the Korean institute of surface engineering
    • /
    • v.36 no.1
    • /
    • pp.74-78
    • /
    • 2003
  • The corrosion failure of electronic devices has been a major reliability concern lately. This failure is an ongoing concern because of miniaturization of integrated circuits (IC) and the increased use of polymers in electronic packaging. Recently, plasma-polymerized cyclohexane films were considered as a possible candidate for a interlayer dielectric for multilever metallization of ultra large scale integrated (ULSI) semiconductor devices. In this paper the protective ability of above films as a function of deposition temperature and RF power in an 3.5 wt.% NaCl solution were examined by polarization measurement. The film was characterized by FTIR spectroscopy and contact angle measurement. The protective efficiency of the film increased with increasing deposition temperature and RF power, which induced the higher degree of cross-linking and hydrophobicity of the films.

Reduction Gas and Chemical Additive Effects on the MOCVD Copper Films Deposited From (hfac)Cu(1,5-DMCOD) as a Precursor ((hfac)Cu(1,5-DMCOD) 전구체를 이용한 MOCVD Cu 증착 특성에 미치는 환원기체와 첨가제의 영향에 관한 연구)

  • Byeon, In-Jae;Seo, Beom-Seok;Yang, Hui-Jeong;Lee, Won-Hui;Lee, Jae-Gap
    • Korean Journal of Materials Research
    • /
    • v.11 no.1
    • /
    • pp.20-26
    • /
    • 2001
  • The deposition characteristics of MOCVO Cu using the (hfac)Cu(I) (1,5-DMCOD)(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato Cu(I) 1,5-dimethyl-cyclooctadine) as a precursor have been investigated in terms of the effects of hydrogen and H(hfac) ligand addition with He carrier gas. MOCVD Cu using a Helium carrier gas showed a low deposition rate (20~$125{\AA}/min$) at the substrate temperature range of 180~$230^{\circ}C$. Moreover, the Cu film deposited at 19$0^{\circ}C$ was very thin (~$700{\AA}$) and showed the lowest resistivity value of $2.8{\mu}{\Omega}-cm$. The deposition rate of MOCVD Cu using $H_2$or H(hfac) addition was significantly enhanced especially at the low temperature region (180~$190^{\circ}C$). Furthermore, thinner Cu films (~$500{\AA}$) provided low resistivity (3.6~$2.86{\mu}{\Omega}-cm$). From surface reflectance measurement, very thin films deposited by using different gas system revealed good surface morphology comparable with sputtered Cu film ($300^{\circ}C$, vacuum-anneal). Hence, Cu film using (hfac)Cu(1,5-DMCOD) as a precursor is expected as a good seed layer in the electrochemical deposition process for Cu metallization.

  • PDF