• 제목/요약/키워드: ChemicalPolishing

검색결과 584건 처리시간 0.027초

중성 영역 구리 화학적 기계적 평탄화 공정에서의 작용기에 따른 부식방지제의 영향성 연구 (Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment)

  • 이상원;김재정
    • Korean Chemical Engineering Research
    • /
    • 제53권4호
    • /
    • pp.517-523
    • /
    • 2015
  • 금속 배선형성 재료가 구리로 대체됨에 따라 다마신(damascene) 공정이 도입되었고, 과증착된 구리를 화학적 기계적 평탄화(Chemical Mechanical Polishing, CMP) 방식을 통해 제거하는 구리 화학적 기계적 평탄화 공정이 필요하게 되었다. 본 연구에서는 중성영역 구리 화학적 기계적 평탄화 공정용 슬러리의 구성 요소 중 하나인 부식 방지제에 아미노기($-NH_2$)와 카르복실기(-COOH)를 부착시켜 그에 따른 영향성을 확인하고자 하였다. 1H-1,2,4-트리아졸(1H-1,2,4-triazole)을 기준 부식방지제로 선정하여 식각속도, 제거속도 및 화학적 식각력을 측정한 결과 아미노기는 높은 구리 식각 능력을 보여주는 반면, 카르복실기는 부식방지제 효과가 증대되어 기본 부식방지제보다 낮은 식각 능력을 보여주었다. 이는 높은 제거속도가 필요한 1차 구리 화학적 기계적 평탄화 공정에는 아미노기가, 높은 구리 제거속도/식각속도 비를 필요로 하는 2차 구리 화학적 기계적 평탄화 공정에는 카르복실기가 적합하다는 결론을 보여준다.

화학적기계적연마 공정으로 제조한 BLT Capacitor의 Polishing Damage에 의한 강유전 특성 열화 (Degradation from Polishing Damage in Ferroelectric Characteristics of BLT Capacitor Fabricated by Chemical Mechanical Polishing Process)

  • 나한용;박주선;정판검;고필주;김남훈;이우선
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.236-236
    • /
    • 2008
  • (Bi,La)$Ti_3O_{12}$(BLT) thin film is one of the most attractive materials for ferroelectric random access memory (FRAM) applications due to its some excellent properties such as high fatigue endurance, low processing temperature, and large remanent polarization [1-2]. The authors firstly investigated and reported the damascene process of chemical mechanical polishing (CMP) for BLT thin film capacitor on behalf of plasma etching process for fabrication of FRAM [3]. CMP process could prepare the BLT capacitors with the superior process efficiency to the plasma etching process without the well-known problems such as plasma damages and sloped sidewall, which was enough to apply to the fabrication of FRAM [2]. BLT-CMP characteristics showed the typical oxide-CMP characteristics which were related in both pressure and velocity according to Preston's equation and Hernandez's power law [2-4]. Good surface roughness was also obtained for the densification of multilevel memory structure by CMP process [3]. The well prepared BLT capacitors fabricated by CMP process should have the sufficient ferroelectric properties for FRAM; therefore, in this study the electrical properties of the BLT capacitor fabricated by CMP process were analyzed with the process parameters. Especially, the effects of CMP pressure, which had mainly affected the removal rate of BLT thin films [2], on the electrical properties were investigated. In order to check the influences of the pressure in eMP process on the ferroelectric properties of BLT thin films, the electrical test of the BLT capacitors was performed. The polarization-voltage (P-V) characteristics show a decreased the remanent polarization (Pr) value when CMP process was performed with the high pressure. The shape of the hysteresis loop is close to typical loop of BLT thin films in case of the specimen after CMP process with the pressures of 4.9 kPa; however, the shape of the hysteresis loop is not saturated due to high leakage current caused by structural and/or chemical damages in case of the specimen after CMP process with the pressures of 29.4 kPa. The leakage current density obtained with positive bias is one order lower than that with negative bias in case of 29.4 kPa, which was one or two order higher than in case of 4.9 kPa. The high pressure condition was not suitable for the damascene process of BLT thin films due to the defects in electrical properties although the better efficiency of process. by higher removal rate of BLT thin films was obtained with the high pressure of 29.4 kPa in the previous study [2].

  • PDF

Effect of Amine Functional Group on Removal Rate Selectivity between Copper and Tantalum-nitride Film in Chemical Mechanical Polishing

  • Cui, Hao;Hwang, Hee-Sub;Park, Jin-Hyung;Paik, Ungyu;Park, Jea-Gun
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.546-546
    • /
    • 2008
  • Copper (Cu) Chemical mechanical polishing (CMP) has been an essential process for Cu wifing of DRAM and NAND flash memory beyond 45nm. Copper has been employed as ideal material for interconnect and metal line due to the low resistivity and high resistant to electro-migration. Damascene process is currently used in conjunction with CMP in the fabrication of multi-level copper interconnects for advanced logic and memory devices. Cu CMP involves removal of material by the combination of chemical and mechanical action. Chemicals in slurry aid in material removal by modifying the surface film while abrasion between the particles, pad, and the modified film facilitates mechanical removal. In our research, we emphasized on the role of chemical effect of slurry on Cu CMP, especially on the effect of amine functional group on removal rate selectivity between Cu and Tantalum-nitride (TaN) film. We investigated the two different kinds of complexing agent both with amine functional group. On the one hand, Polyacrylamide as a polymer affected the stability of abrasive, viscosity of slurry and the corrosion current of copper film especially at high concentration. At higher concentration, the aggregation of abrasive particles was suppressed by the steric effect of PAM, thus showed higher fraction of small particle distribution. It also showed a fluctuation behavior of the viscosity of slurry at high shear rate due to transformation of polymer chain. Also, because of forming thick passivation layer on the surface of Cu film, the diffusion of oxidant to the Cu surface was inhibited; therefore, the corrosion current with 0.7wt% PAM was smaller than that without PAM. the polishing rate of Cu film slightly increased up to 0.3wt%, then decreased with increasing of PAM concentration. On the contrary, the polishing rate of TaN film was strongly suppressed and saturated with increasing of PAM concentration at 0.3wt%. We also studied the electrostatic interaction between abrasive particle and Cu/TaN film with different PAM concentration. On the other hand, amino-methyl-propanol (AMP) as a single molecule does not affect the stability, rheological and corrosion behavior of the slurry as the polymer PAM. The polishing behavior of TaN film and selectivity with AMP appeared the similar trend to the slurry with PAM. The polishing behavior of Cu film with AMP, however, was quite different with that of PAM. We assume this difference was originated from different compactness of surface passivation layer on the Cu film under the same concentration due to the different molecular weight of PAM and AMP.

  • PDF

폐 인듐주석산화물 타겟의 재활용 기술 (Recycling Method of Used Indium Tin Oxide Targets)

  • 이영인;좌용호
    • 한국재료학회지
    • /
    • 제22권4호
    • /
    • pp.174-179
    • /
    • 2012
  • In this study, we demonstrated a simple and eco-friendly method, including mechanical polishing and attrition milling processes, to recycle sputtered indium tin oxide targets to indium tin oxide nanopowders and targets for sputtered transparent conductive films. The utilized indium tin oxide target was first pulverized to a powder of sub- to a few- micrometer size by polishing using a diamond particle coated polishing wheel. The calcination of the crushed indium tin oxide powder was carried out at $1000^{\circ}C$ for 1 h, based on the thermal behavior of the indium tin oxide powder; then, the powders were downsized to nanometer size by attrition milling. The average particle size of the indium tin oxide nanopowder was decreased by increasing attrition milling time and was approximately 30 nm after attrition milling for 15 h. The morphology, chemical composition, and microstructure of the recycled indium tin oxide nanopowder were investigated by FE-SEM, EDX, and TEM. A fully dense indium tin oxide sintered specimen with 97.4% of relative density was fabricated using the recycled indium tin oxide nanopowders under atmospheric pressure at $1500^{\circ}C$ for 4 h. The microstructure, phase, and purity of the indium tin oxide target were examined by FE-SEM, XRD, and ICP-MS.

알루미늄 박막의 화학기계적연마 가공에 관한 연구 (Chemical Mechanical Polishing of Aluminum Thin Films)

  • 조웅;안유민;백창욱;김용권
    • 한국정밀공학회지
    • /
    • 제19권2호
    • /
    • pp.49-57
    • /
    • 2002
  • The effect of mechanical parameters on chemical mechanical polishing (CMP) of blanket and patterned aluminum thin films are investigated. CMP process experiments are conducted using the soft pad and the slurry mainly composed of acid solution and A1$_2$O$_3$ abrasive. The result for the blanket film showed that as the concentration of abrasive in slurry is increased, the surface roughness gets worse but the waviness gets better. The planarity of the patterned Al films is slowly improved by CMP when the width of and gap between the patterns are relatively small. It is tried to find the optimized CMP process conditions by that the patterned Al thin film can be planarized with fine surface. The most satisfiable film surface is obtained when the applied pressure is low (10kPa) and the abrasive concentration is relatively high (5wt%).

Effect of Anionic Polyelectrolyte on Alumina Dispersions for Ru Chemical Mechanical Polishing

  • Venkatesh, R. Prasanna;Victoria, S. Noyel;Kwon, Tae-Young;Park, Jin-Goo
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2011년도 추계학술발표대회
    • /
    • pp.24.2-24.2
    • /
    • 2011
  • Ru is used as a bottom electrode capacitor in dynamic random access memories (DRAMs) and ferroelectric random access memories (FRAMs). The surface of the Ru needs to be planarized which is usually done by chemical mechanical polishing (CMP). Ru CMP process requires chemical slurry consisting of abrasive particles and oxidizer. A slurry containing NaIO4 and alumina particles is already proposed for Ru CMP process. However, the stability of the slurry is critical in the CMP process since if the particles in the slurry get agglomerated it would leave scratches on the surface being planarized. Thus, in the present work, the stability behavior of the slurry using a suitable anionic polyelectrolyte is investigated. The parameters such as slurry pH, polyelectrolyte concentration, adsorption time and the sequence of addition of chemicals are optimized. The results show that the slurry is stable for longer time at an optimized condition. The polishing behavior of the Ru using the optimized slurry is also investigated.

  • PDF

대면적 박막 태양전지 적용을 위한 CdTe 박막의 화학적기계적연마 공정 특성 (Chemical Mechanical Polishing Characteristics of CdTe Thin Films for Application to Large-area Thin Film Solar Cell)

  • 양정태;신상헌;이우선
    • 전기학회논문지
    • /
    • 제58권6호
    • /
    • pp.1146-1150
    • /
    • 2009
  • Cadmium telluride (CdTe) is one of the most attractive photovoltaic materials due to its low cost, high efficiency and stable performance in physical, optical and electronic properties. Few researches on the influences of uniform surface on the photovoltaic characteristics in large-area CdTe solar cell were not reported. As the preceding study of the effects of thickness-uniformity on the photovoltaic characteristics for the large-area CdTe thin film solar cell, chemical mechanical polishing (CMP) process was investigated for an enhancement of thickness-uniformity. Removal rate of CdTe thin film was 3160 nm/min of the maximum value at the 200 $gf/cm^2$ of down force (pressure) and 60 rpm of table speed (velocity). The removal rate of CdTe thin film was more affected by the down force than the table speed which is the two main factors directly influencing on the removal rate in CMP process. RMS roughness and peak-to-valley roughness of CdTe thin film after CMP process were improved to 96.68% and 85.55%, respectively. The optimum process condition was estimated by 100 $gf/cm^2$ of down force and 60 rpm of table speed with the consideration of good removal uniformity about 5.0% as well as excellent surface roughness for the large-area CdTe solar cell.

STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구 (A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제14권1호
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF

W-slurry의 산화제 첨가량에 따른 Cu-CMP특성 (The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry)

  • 이우선;최권우;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

산화막 CMP의 연마율 및 비균일도 특성 (Removal Rate and Non-Uniformity Characteristics of Oxide CMP (Chemical Mechanical polishing))

  • 정소영;박성우;박창준;이경진;김기욱;김철복;김상용;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2002년도 춘계학술대회 논문집 유기절연재료 전자세라믹 방전플라즈마 일렉트렛트 및 응용기술
    • /
    • pp.223-227
    • /
    • 2002
  • As the channel length of device shrinks below $0.13{\mu}m$, CMP(chemical mechanical polishing) process got into key process for global planarization in the chip manufacturing process. The removal rate and non-uniformity of the CMP characteristics occupy an important position to CMP process control. Especially, the post-CMP thickness variation depends on the device yield as well as the stability of subsequent process. In this paper, every wafer polished two times for the improvement of oxide CMP process characteristics. Then, we discussed the removal rate and non-uniformity characteristics of post-CMP process. As a result of CMP experiment, we have obtained within-wafer non-uniformity (WIWNU) below 4 [%], and wafer-to-wafer non-uniformity (WTWNU) within 3.5 [%]. It is very good result, because the reliable non-uniformity of CMP process is within 5 [%].

  • PDF