• Title/Summary/Keyword: Chemical mechanical polishing

Search Result 500, Processing Time 0.029 seconds

Role of oxidant on polishing selectivity in the chemical mechanical planarization of W/Ti/TiN layers (W/Ti/TiN막의 연마 선택비 개선을 위한 산화제의 역할)

  • Lee, Kyoung-Jin;Seo, Yong-Jin;Park, Chang-Jun;Kim, Gi-Uk;Kim, Sang-Yong;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.05c
    • /
    • pp.33-36
    • /
    • 2003
  • Tungsten is widely used as a plug for the multi-level interconnection structures. However, due to the poor adhesive properties of tungsten (W) on $SiO_2$ layer, the Ti/TiN barrier layer is usually deposited onto $SiO_2$ for increasing adhesion ability with W film. Generally, for the W-CMP (chemical mechanical polishing) process, the passivation layer on the tungsten surface during CMP plays an important role. In this paper, the effect of oxidants controlling the polishing selectivity of W/Ti/TiN layer were investigated. The alumina $(Al_2O_3)$ abrasive containing slurry with 5 % $H_2O_2$ as the oxidizer, was studied. As our preliminary experimental results, very low removal rates were observed for the case of no-oxidant slurry. This low removal rate is only due to the mechanical abrasive force. However, for Ti and TiN with 5 % $H_2O_2$ oxidizer, different removal rate was observed. The removal mechanism of Ti during CMP is mainly due to mechanical abrasive, whereas for TiN, it is due to the formation of metastable soluble peroxide complex.

  • PDF

Stick-slip in Chemical Mechanical Polishing Using Multi-Particle Simulation Models (다수의 연마입자를 고려한 CMP 공정의 Stick-Slip 고찰)

  • Jung, Soyoung;Sung, In-Ha
    • Tribology and Lubricants
    • /
    • v.34 no.6
    • /
    • pp.279-283
    • /
    • 2018
  • In this study, we investigate the behavior of abrasive particles and change of the stick-slip pattern according to chemical mechanical polishing (CMP) process parameters when a large number of abrasive particles are fixed on a pad. The CMP process is simulated using the finite element method. In the simulation, the abrasive grains are composed of those used in the actual CMP process. Considering the cohesion of the abrasive grains with the start of the CMP process, abrasive particles with various sizes are fixed onto the pad at different intervals so that stick-slip could occur. In this analysis, we determine that when the abrasive particle size is relatively large, the stick-slip period does not change as the pressure increases while the moving speed is constant. However, if the size of the abrasive grains is relatively small, the amount of deformation of the grains increases due to the elasticity of the pad. Therefore, the stick-slip pattern may not be observed. As the number of abrasive particles increases, the stick-slip period and displacement decrease. This is consistent with the decrease in the von Mises yield stress value on the surface of the wafer as the number of abrasive grains increases. We determine that when the number of the abrasive grains increases, the polishing rate, and characteristics are improved, and scratches are reduced. Moreover, we establish that the period of stick-slip increases and the change of the stick-slip size was not large when the abrasive particle size was relatively small.

A Study on Machining Characteristic Comparison of Blanket Wafer(TEOS) by CMP and Spin Etching (CMP와 Spin Etching에 의한 Blanket Wafer(TEOS) 가공 특성 비교에 관한 연구)

  • 김도윤;정해도;이은상
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2001.04a
    • /
    • pp.1068-1071
    • /
    • 2001
  • Recently, the minimum line width shows a tendancy to decrease and the multi-level to increase in semiconductor. Therefore, a planarization technique is needed, which chemical polishing(CMP) is considered as one of the most important process. CMP accomplishes a high polishing performance and a global planarization of high quality. But there are several defects in CMP such as microscratches, abrasive contaminations, and non-uniformity of polished wafer edges. Spin Etching can improve the defects of CMP. It uses abrasive-free chemical solution instead of slurry. Wafer rotates and chemical solution is simultaneously dispensed on a whole surface of the wafer. Thereby chemical reaction is occurred on the surface of wafer, material is removed. On this study, TEOS film is removed by CMP and Spin Etching, the results are estimated at a viewpoint of material removal rate(MRR) and within wafer non-uniformity(WIWNU).

  • PDF

A Study on the Distribution of Friction Heat generated by CMP Process (CMP 공정에서 발생하는 연마온도 분포에 관한 연구)

  • 김형재;권대희;정해도;이용숙;신영재
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.20 no.3
    • /
    • pp.42-49
    • /
    • 2003
  • In this paper, we provide the results of polishing temperature distribution by way of infrared ray measurement system as well as polishing resistance, which can be interpreted as tribological aspects of CMP, using force measurement system. The results include the trend of polishing temperature, its distribution profile and temperature change during polishing. The results indicate that temperature affects greatly to the removal rate. Polishing temperature increases gradually and reaches steady state temperature and the period of temperature change occurs first tens of seconds. Furthermore, the friction force also varies as the same pattern with polishing temperature from high friction to low. These results suggest that the first period of the whole polishing time greatly affects the nonuniformity of removal rate.

Application of Magnetic Assisted Polishing for ELID Ground Surface of Aluminum Oxide Ceramics (알루미나 세라믹스 ELID연삭면의 자기연마 가공 특성)

  • Lee, Yong-Chul;Jung, Myung-Won;Kim, Tae-Kyu;Kwak, Tae-Soo
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.30 no.12
    • /
    • pp.1259-1264
    • /
    • 2013
  • This study has focused on the application of magnetic assisted polishing for ELID ground surface of aluminum oxide ceramics. Aluminum oxide ceramics has been widely used as advanced materials for electric, optic, mechanic, chemical usage and so on. In this study, ELID grinding and magnetic assisted polishing technology was adopted for high-effective manufacturing and high quality surface of ceramic parts. The characteristic of MAP machining have been evaluated by the value of surface roughness and surface profile before and after magnetic assisted polishing. As the results of experiments, the surface roughness after magnetic assisted polishing has shown a significant improvement and the surface roughness was more improved when the feed rate of tool became slow.

Effect of Abrasive Particles on Frictional Force and Abrasion in Chemical Mechanical Polishing(CMP) (CMP 연마입자의 마찰력과 연마율에 관한 영향)

  • Kim, Goo-Youn;Kim, Hyoung-Jae;Park, Boum-Young;Lee, Hyun-Seop;Park, Ki-Hyun;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.10
    • /
    • pp.1049-1055
    • /
    • 2004
  • Chemical Mechanical Polishing (CMP) is referred to as a three body tribological system, because it includes two solids in relative motion and the CMP slurry. On the assumption that the abrasives between the pad and the wafer could be a major reason not only for the friction force but also for material removal during polishing, the friction force generated during CMP process was investigated with the change of abrasive size and concentration of CMP slurry. The threshold point of average coefficient of friction (COF) with increase in abrasives concentration during interlayer dielectric (ILD) CMP was found experimentally and verified mathematically based on contact mechanics. The predictable models, Mode I (wafer is in contact with abrasives and pad) and Mode II (wafer is in contact with abrasives only), were proposed and used to explain the threshold point. The average COF value increased in the low abrasives concentration region which might be explained by Mode I. In contrast the average COF value decreased at high abrasives concentration which might be regarded to as Mode II. The threshold point observed seemed to be due to the transition from Mode I to Mode II. The tendency of threshold point with the variation of abrasive size was studied. The increase of particle radius could cause contact status to reach transition area faster. The correlation between COF and material removal rate was also investigated from the tribological and energetic point of view. Due to the energy loss by vibration of polishing equipment, COF value is not proportional to the material removal rate in this experiment.

Chemical Mechanical Polishing Characteristics of CdTe Thin Films for Application to Large-area Thin Film Solar Cell (대면적 박막 태양전지 적용을 위한 CdTe 박막의 화학적기계적연마 공정 특성)

  • Yang, Jung-Tae;Shin, Sang-Hun;Lee, Woo-Sun
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.58 no.6
    • /
    • pp.1146-1150
    • /
    • 2009
  • Cadmium telluride (CdTe) is one of the most attractive photovoltaic materials due to its low cost, high efficiency and stable performance in physical, optical and electronic properties. Few researches on the influences of uniform surface on the photovoltaic characteristics in large-area CdTe solar cell were not reported. As the preceding study of the effects of thickness-uniformity on the photovoltaic characteristics for the large-area CdTe thin film solar cell, chemical mechanical polishing (CMP) process was investigated for an enhancement of thickness-uniformity. Removal rate of CdTe thin film was 3160 nm/min of the maximum value at the 200 $gf/cm^2$ of down force (pressure) and 60 rpm of table speed (velocity). The removal rate of CdTe thin film was more affected by the down force than the table speed which is the two main factors directly influencing on the removal rate in CMP process. RMS roughness and peak-to-valley roughness of CdTe thin film after CMP process were improved to 96.68% and 85.55%, respectively. The optimum process condition was estimated by 100 $gf/cm^2$ of down force and 60 rpm of table speed with the consideration of good removal uniformity about 5.0% as well as excellent surface roughness for the large-area CdTe solar cell.

A Study for the Improvement of Torn Oxide Defects in Shallow Trench Isolation-Chemical Mechanical Polishing (STI-CMP) Process (STI--CMP 공정에서 Torn oxide 결함 해결에 관한 연구)

  • 서용진;정헌상;김상용;이우선;이강현;장의구
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.14 no.1
    • /
    • pp.1-5
    • /
    • 2001
  • STI(shallow trench isolation)-CMP(chemical mechanical polishing) process have been substituted for LOCOS(local oxidation of silicon) process to obtain global planarization in the below sub-0.5㎛ technology. However TI-CMP process, especially TI-CMP with RIE(reactive ion etching) etch back process, has some kinds of defect like nitride residue, torn oxide defect, etc. In this paper, we studied how to reduced torn oxide defects after STI-CMP with RIE etch back processed. Although torn oxide defects which can occur on trench area is not deep and not severe, torn oxide defects on moat area is not deep and not severe, torn oxide defects on moat area is sometimes very deep and makes the yield loss. Thus, we did test on pattern wafers which go through trench process, APECVD process, and RIE etch back process by using an IPEC 472 polisher, IC1000/SUVA4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the origin of torn oxide defects.

  • PDF

The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry (W-slurry의 산화제 첨가량에 따른 Cu-CMP특성)

  • Lee, Woo-Sun;Choi, Gwon-Woo;Seo, Young-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

Removal Rate and Non-Uniformity Characteristics of Oxide CMP (Chemical Mechanical polishing) (산화막 CMP의 연마율 및 비균일도 특성)

  • Jeong, So-Young;Park, Sung-Woo;Park, Chang-Jun;Lee, Kyoung-Jin;Kim, Ki-Wook;Kim, Chul-Bok;Kim, Sang-Yong;Seo, Yong-Jin
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2002.05c
    • /
    • pp.223-227
    • /
    • 2002
  • As the channel length of device shrinks below $0.13{\mu}m$, CMP(chemical mechanical polishing) process got into key process for global planarization in the chip manufacturing process. The removal rate and non-uniformity of the CMP characteristics occupy an important position to CMP process control. Especially, the post-CMP thickness variation depends on the device yield as well as the stability of subsequent process. In this paper, every wafer polished two times for the improvement of oxide CMP process characteristics. Then, we discussed the removal rate and non-uniformity characteristics of post-CMP process. As a result of CMP experiment, we have obtained within-wafer non-uniformity (WIWNU) below 4 [%], and wafer-to-wafer non-uniformity (WTWNU) within 3.5 [%]. It is very good result, because the reliable non-uniformity of CMP process is within 5 [%].

  • PDF