• 제목/요약/키워드: Ceria slurry

검색결과 56건 처리시간 0.025초

STI-CMP용 세리아 슬러리 공급시스템에서 거대입자와 필터 크기가 Light Point Defects (LPDs)에 미치는 영향 (Effects of Large Particles and Filter Size in Central Chemical Supplying(CCS) System for STI-CMP on Light Point Defects (LPDs))

  • 이명윤;강현구;박진형;박재근;백운규
    • 반도체디스플레이기술학회지
    • /
    • 제3권4호
    • /
    • pp.45-49
    • /
    • 2004
  • We examined large particles and filter size effects of Central Chemical Supplying (CCS) system for STI-CMP on Light Point Defects (LPDs) after polishing. As manufacturing process recently gets thinner below 0.1 um line width, it is very important to keep down post-CMP micro-scratch and LPDs in case of STI-CMP. Therefore, we must control the size distribution of large particles in a slurry. With optimization of final filter size, CCS system is one of the solutions for this issue. The oxide and nitride CMP tests were accomplished using nano-ceria slurries made by ourselves. The number of large particles in a slurry and the number of LPDs on the wafer surface after CMP were reduced with decrease of the final filter size. Oxide removal rates slightly changed according to the final filter size, showing the good performance of self-made nano ceria slurries.

  • PDF

STI CMP용 가공종점 검출기술에서 나노 세리아 슬러리 특성이 미치는 영향 (Effect of the Nano Ceria Slurry Characteristics on end Point Detection Technology for STI CMP)

  • 김성준;강현구;김민석;백운규;박재근
    • 반도체디스플레이기술학회지
    • /
    • 제3권1호
    • /
    • pp.15-20
    • /
    • 2004
  • Through shallow trench isolation (STI) chemical mechanical polishing (CMP) tests, we investigated the dependence of pad surface temperature on the abrasive and additive concentrations in ceria slurry under varying pressure using blanket film wafers. The pad surface temperature after CMP increased with the abrasive concentration and decreased with the additive concentration in slurries for the constant down pressure. A possible mechanism is that the additive adsorbed on the film surfaces during polishing decreases the friction coefficient, hence the pad surface temperature gets lower with increasing the additive concentration. This difference in temperature was more remarkable for the higher concentration of abrasives. In addition, in-situ measurement of spindle motor was carried out during oxide and nitride polishing. The averaged motor current for oxide film was higher than that for nitride film, meaning the higher friction coefficient.

  • PDF

슬러리 종류에 따른 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film by different slurry)

  • 최권우;이우선;고필주;김태완;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.389-392
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and non-uniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between particle size and CMP with particle size analysis of used slurry.

  • PDF

가스센서 $SnO_2$ 박막의 광역평탄화 특성 (CMP properties of $SnO_2$ thin film)

  • 최권우;이우선;박정민;최석조;박도성;김남오
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2004년도 하계학술대회 논문집 C
    • /
    • pp.1600-1604
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF

$SnO_2$ 박막의 CMP 특성 (CMP properties of $SnO_2$ thin film)

  • 최권우;이우선;고필주;김태완;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 춘계학술대회 논문집 반도체 재료 센서 박막재료 전자세라믹스
    • /
    • pp.93-96
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2$-CMP process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis of used slurry.

  • PDF

$SnO_2$ 박막의 CMP 특성 (CMP properties of $SnO_2$ thin film)

  • 이우선;최권우;고필주;홍광준;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 추계학술대회 논문집 Vol.16
    • /
    • pp.184-187
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) lyaer with free-defect. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. we investigated the performance of $SnO_2-CMP$ process using commonly used silica slurry, ceria slurry, tungsten slurry. This study shows removal rate and nonuniformity of $SnO_2$ thin film used to gas sensor by using Ceria, Silica, W-Slurry after CMP process. This study also shows the relation between partical size and CMP with partical size analysis or used slurry.

  • PDF

슬러리 공급 시스템을 이용한 화학적 기계적 연마 공정에서의 POU 필터의 성능 평가 (Evaluation of Point-Of-Use (POU) Filters Performance in Chemical Mechanical Polishing Slurry Supply System)

  • 장선재;김호중;진홍이;남미연;아툴 쿨르카르니;김태성
    • 한국입자에어로졸학회지
    • /
    • 제9권4호
    • /
    • pp.261-269
    • /
    • 2013
  • The chemical mechanical polishing (CMP) process is widely used in semiconductor manufacturing process for planarization of various materials and structures. Point-of-use (POU) filters are used in most of the CMP processes in order to reduce the unwanted micro-scratches which may result in defects. The performance of the POU filter is depends on type and size of the abrasives used during cleaning process. For this reason, there is a need to evaluate POU filters for their filtration efficiency (FE) with different types of abrasives. In this study, we developed filter test system to evaluate the FE of POU using ceria and silica abrasives (slurry). The POU filter is roll type capsule filter with retention size of 0.2 ${\mu}m$. Two POU filters of different make are evaluated for FE. We observed that both POU filters show similar filtration efficiency for silica and ceria slurry. Results reveal that the ceria slurry and the colloidal silica particle are removed not only by mechanical way but also hydrodynamic and electrostatic interaction way.

세리아 연마제 첨가량에 따른 산화막 CMP 특성 고찰 (Improvement of Oxide-Mechanical Polishing Characteristics According to the Ceria Abrasive Adding)

  • 한상준;박성우;이우선;서용진
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2006년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.87-88
    • /
    • 2006
  • To investigate the possibility of ceria abrasive-added slurry for the oxide-chemical mechanical polishing (oxide-CMP) application, two kinds of retreated methods were introduced as follows: First, the characteristics of mixed abrasive slurry (MAS) using $CeO_2$ powder as an abrasive added within diluted silica slurry (DSS) were evaluated to achieve the improvement of removal rates and non-uniformity. Second, the control of pH level due to the dilution of slurry was examined. And then, we have discussed the CMP characteristics as a function of abrasive dispersion time.

  • PDF