• 제목/요약/키워드: CMP Slurry

검색결과 365건 처리시간 0.026초

나노 세리아 슬러리에 첨가된 연마입자와 첨가제의 농도가 CMP 연마판 온도에 미치는 영향

  • 김성준;강현구;김민석;박재근
    • 한국반도체및디스플레이장비학회:학술대회논문집
    • /
    • 한국반도체및디스플레이장비학회 2003년도 추계학술대회 발표 논문집
    • /
    • pp.122-125
    • /
    • 2003
  • We investigated the effect of the abrasive and additive concentrations in Nano ceria slurry on the pad surface temperature under varying pressure through chemical mechanical polishing (CMP) test using blanket wafers. The pad surface temperature after CMP increased with the abrasive concentration and decreased with increase of the additive concentration in slurries for the constant down pressure. A possible mechanism is that the additive adsorbed on the film surface during polishing decreases the friction coefficient, hence the pad surface temperature gets lower with increase of the additive concentration. This difference of temperature was more remarkable for the higher concentration of abrasives. In addition, in-situ measurement of spindle motor was carried out during oxide and nitride polishing. The averaged motor current for oxide film was higher than that for nitride film, which means the higher friction coefficient.

  • PDF

Determination of End Point for Direct Chemical Mechanical Polishing of Shallow Trench Isolation Structure

  • Seo, Yong-Jin;Lee, Kyoung-Jin;Kim, Sang-Yong;Lee, Woo-Sun
    • KIEE International Transactions on Electrophysics and Applications
    • /
    • 제3C권1호
    • /
    • pp.28-32
    • /
    • 2003
  • In this paper, we have studied the in-situ end point detection (EPD) for direct chemical mechanical polishing (CMP) of shallow trench isolation (STI) structures without the reverse moat etch process. In this case, we applied a high selectivity $1n (HSS) that improves the silicon oxide removal rate and maximizes oxide to nitride selectivity Quite reproducible EPD results were obtained, and the wafer-to-wafer thickness variation was significantly reduced compared with the conventional predetermined polishing time method without EPD. Therefore, it is possible to achieve a global planarization without the complicated reverse moat etch process. As a result, the STI-CMP process can be simplified and improved using the new EPD method.

RF-sputtering에 의해 제작된 ZnO박막의 연마특성 (CMP Properties of ZnO thin film deposited by RF magnetron sputtering)

  • 최권우;한상준;이우선;박성우;정판검;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 추계학술대회 논문집
    • /
    • pp.166-166
    • /
    • 2007
  • ZnO는 육방정계(wurtzite) 결정구조를 지니며 상온에서 3.37eV의 wide band gap을 갖는다. ZnO의 엑시톤 결합 에너지는 GaN에 비해 2.5배 높은 60meV로서 고효율의 광소자 적용 가능성이 높다. 또한 고품위의 박막합성이 가능하다. 이러한 특성 때문에 display소자의 투명전극, 광전소자, 바리스터, 압전소자, 가스센서 등에 폭 넓게 응용되고 있다. ZnO박막의 제조는 스퍼터링, CVD, 진공증착법, 열분해법 등이 있다. 본 논문에서는 RF 마그네트론 스퍼터에 의해 제작된 ZnO 박막에 CMP공정을 수행하여 연마율과 비균일도 특성 및 광투과 특성을 연구하였다. ZnO박막은 $2{\times}2Cm$의 Corning glass위에 증착되었다. 로터리 펌프와 유확산 펌프를 이용하여 초기진공을 $2{\times}10^{-6}$ Torr까지 도달시킨 후 Ar과 $O_2$를 주입하였다. 증착은 상온에서 이루어졌으며 공정압력은 $6{\times}10^{-2}$Torr이였다. 초기의 불안정한 상태의 풀라즈마를 안정시키기 위해 셔터를 이용하여 pre-sputtering을 하였다. CMP 공정조건은 플레이튼 속도, 슬러리 유속, 압력은 칵각 60rpm, 90ml/min, $300g/cm^2$으로 일정하게 유지하였으며 헤드속도는 20rpm에서 100rpm까지 증가시키면서 연마특성을 조사하였다. 실리카슬러리의 적합성을 알아보기 위해 DIW와 병행하여 CMP공정을 수행하고 비교 분석하였다. CMP공정 결과 광투과도는 굉탄화된 표면의 확보로 인해 향상된 특성을 보였다. 실리카 슬러리를 사용하여 CMP를 할 경우는 헤드속도는 저속으로 하여야 양호한 연마특성을 얻을 수 있었다.

  • PDF

Ru CMP에서 슬러리의 pH 적정제에 따른 영향 (Effect of pH adjustors in slurry on Ru CMP)

  • 김인권;권태영;조병권;강봉균;박진구;박형순
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2007년도 하계학술대회 논문집 Vol.8
    • /
    • pp.85-85
    • /
    • 2007
  • 최근 귀금속중의 하나인 Ruthenium(Ru)은 높은 일함수, 누설전류에 대한 높은 저항성등의 톡성으로 인해 캐패시터의 하부전극으로 각광받고 있다. 하부전극으로 증착된 Ru은 일반적으로 각 캐패시터의 분리와 평탄화를 위해 건식식각이 이루어진다. 하지만, 건식식각 공정중 유독한 $RUO_4$ 가스가 발생할 수 있으며, 불균일한 캐패시터 표면을 유발할 수 있다. 이러한 문제점들을 해결하기 위해 CMP 공정이 필요하게 되었다. 하지만, Ru은 화학적으로 매우 안정하기 때문에 Ru CMP 슬러리에 대한 연구가 필요하게 되었으며, 이에 대한 연구가 활발히 진행되고 있다. 본 연구에서는 Ru CMP 공정에서 Chemical A가 에칭제 및 산화제로 사용된 슬러리의 pH 변화와 pH 적정제에 따른 영향을 살펴보았다. Ru wafer를 이용하여 static etch rate, passivation film thickness와 wettability를 pH와 pH 적정제에 따라 비교해 보았다. 또한, pH 적정제로 $NH_4OH$와 TMAH를 이용하여 pH별 슬러리를 제작하고 CMP 공정을 실시하여 Ru의 removal rate을 측정하였다. $NH_4OH$와 TMAH의 경우 각각 130. 100 nm/min의 연마율이 측정된 pH 6에서 가장 높은 연마률을 보였으며, TMAH의 경우가 pH 전 구간에서 $NH_4OH$에 비해 낮은 연마율이 측정되었다. TEOS 에 대한 Ru의 선택비를 측정해 본 결과, $NH_4OH$의 경우 pH 8~9. TMAH의 경우 pH 6~7에서 높은 selectivity를 얻을 수 있었다.

  • PDF

Gallium Nitride 기판의 Mechanical Polishing시 다이아몬드 입자 크기에 따른 표면 Morphology의 변화 (Influence of the Diamond Abrasive Size during Mechanical Polishing Process on the Surface Morphology of Gallium Nitride Substrate)

  • 김경준;정진석;장학진;신현민;정해도
    • 한국정밀공학회지
    • /
    • 제25권9호
    • /
    • pp.32-37
    • /
    • 2008
  • Freestanding hydride vapor phase epitaxy grown GaN(Gallium Nitride) substrates subjected to various polishing methods were characterized for their surface and subsurface conditions, Although CMP(Chemical Mechanical Polishing) is one of the best approaches for reducing scratches and subsurface damages, the removal rate of Ga-polar surface in CMP is insignificant($0.1{\sim}0.3{\mu}m$/hr) as compared with that of N-polar surface, Therefore, conventional MP(Mechanical Polishing) is commonly used in the GaN substrate fabrication process, MP of (0001) surface of GaN has been demonstrated using diamond slurries with different abrasive sizes, Diamond abrasives of size ranging from 30nm to 100nm were dispersed in ethylene glycol solutions and mineral oil solutions, respectively. Significant change in the surface roughness ($R_a$ 0.15nm) and scratch-free surface were obtained by diamond slurry of 30nm in mean abrasive size dispersed in mineral oil solutions. However, MP process introduced subsurface damages confirmed by TEM (Transmission Electronic Microscope) and PL(Photo-Luminescence) analysis.

STI(Shallow Trench Isolation) 공정에서 Torn Oxide Defect 해결에 관한 연구 (A Study for the Improvement of Torn Oxide Defect in STI(Shallow Trench Isolation)Process)

  • 김상용;서용진;김태형;이우선;정헌상;김창일;장의구
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1998년도 추계학술대회 논문집 학회본부 C
    • /
    • pp.723-725
    • /
    • 1998
  • STI CMP process are substituting gradually for LOCOS(Local Oxidation of Silicon) process to be available below sub-0.5um technology and to get planarized. The other hand, STI CMP process(especially STI CMP with RIE etch back process) has some kinds of defect like Nitride residue, Torn Oxide defect, etc. In this paper, we studied how to reduce Torn Oxide defects after STI CMP with RIE etch back process. Although Torn Oxide defects which occur on Oxide on Trench area is not deep and not sever, Torn oxide defects on Moat area is sometimes very deep and makes the yield loss. We did test on pattern wafers witch go through Trench process, APCVD process, and RIE etch back process by using an REC 472 polisher, IC1000/SUV A4 PAD and KOH base slurry to reduce the number of torn defects and to study what is the root causes of torn oxide defects.

  • PDF

Effects of chemical reaction on the polishing rate and surface planarity in the copper CMP

  • Kim, Do-Hyun;Bae, Sun-Hyuk;Yang, Seung-Man
    • Korea-Australia Rheology Journal
    • /
    • 제14권2호
    • /
    • pp.63-70
    • /
    • 2002
  • Chemical mechanical planarization (CMP) is the polishing process enabled by both chemical and mechanical actions. CMP is used in the fabrication process of the integrated circuits to achieve adequate planarity necessary for stringent photolithography depth of focus requirements. And recently copper is preferred in the metallization process because of its low resistivity. We have studied the effects of chemical reaction on the polishing rate and surface planarity in copper CMP by means of numerical simulation solving Navier-Stokes equation and copper diffusion equation. We have performed pore-scale simulation and integrated the results over all the pores underneath the wafer surface to calculate the macroscopic material removal rate. The mechanical abrasion effect was not included in our study and we concentrated our focus on the transport phenomena occurring in a single pore. We have observed the effects of several parameters such as concentration of chemical additives, relative velocity of the wafer, slurry film thickness or ash)tract ratio of the pore on the copper removal rate and the surface planarity. We observed that when the chemical reaction was rate-limiting step, the results of simulation matched well with the experimental data.