• Title/Summary/Keyword: Buffer processing

Search Result 518, Processing Time 0.03 seconds

Design of the Flexible Buffer Node Technique to Adjust the Insertion/Search Cost in Historical Index (과거 위치 색인에서 입력/검색 비용 조정을 위한 가변 버퍼 노드 기법 설계)

  • Jung, Young-Jin;Ahn, Bu-Young;Lee, Yang-Koo;Lee, Dong-Gyu;Ryu, Keun-Ho
    • The KIPS Transactions:PartD
    • /
    • v.18D no.4
    • /
    • pp.225-236
    • /
    • 2011
  • Various applications of LBS (Location Based Services) are being developed to provide the customized service depending on user's location with progress of wireless communication technology and miniaturization of personalized device. To effectively process an amount of vehicles' location data, LBS requires the techniques such as vehicle observation, data communication, data insertion and search, and user query processing. In this paper, we propose the historical location index, GIP-FB (Group Insertion tree with Flexible Buffer Node) and the flexible buffer node technique to adjust the cost of data insertion and search. the designed GIP+ based index employs the buffer node and the projection storage to cut the cost of insertion and search. Besides, it adjusts the cost of insertion and search by changing the number of line segments of the buffer node with user defined time interval. In the experiment, the buffer node size influences the performance of GIP-FB by changing the number of non-leaf node of the index. the proposed flexible buffer node is used to adjust the performance of the historical location index depending on the applications of LBS.

Simulation and Analysis of Base Station Buffer in UMTS Systems (UMTS 시스템에서 기지국 버퍼에 대한 실험 및 분석)

  • Kim, Nam-Gi;Park, In-Yong;Jeong, Hye-Yeong;Yun, Hyeon-Su
    • The KIPS Transactions:PartA
    • /
    • v.9A no.3
    • /
    • pp.317-326
    • /
    • 2002
  • The mobile telecommunication system has been growing exponentially after 1990s due to the high population in a city and the growth of mobile user. In this time, the current mobile system mainly concentrates on the voice communication. However, in the next generation, mobile users want to get very diverse services via mobile terminal such as the Internet access, web access, multimedia communication, and etc. For this reason, the next generation system, such as the UMTS system, has to support the packet data service and it will play the major role in the system. In different from the voice service, the packet data service should store packet data in a buffer at base station before it forwards. Consequently, the performance closely related to the buffer management and its operation. However, until now, there are rare study on the buffer behavior and management. In this paper, we will observe the buffer behavior in the UMTS systems by using simulation and analyze the results. For this research, we generate packet data traffics and model the UMTS system for a simulation. Then we analyze the buffer state by a simulation and calculate the buffer overflow probability by mathematical methods.

A New Runner System Melt-Buffer for Filling Balance in Injection Mold (사출금형에서 균형충전을 위한 새로운 러너시스템 멜트버퍼)

  • Jeong, Y.D.;Jang, M.K.
    • Transactions of Materials Processing
    • /
    • v.18 no.2
    • /
    • pp.122-127
    • /
    • 2009
  • The injection mold with multi-cavity is essential for mass production of plastic products. Multi-cavity molds are designed to geometrically balanced runner system to uniformly fill to each cavity. However, despite geometrical balanced runner system, filling imbalances between cavity to cavity have always been observed in injection molding. To solve these problems, many studies such as Melt Flipper, RC Pin, and others have been presented. The results of these studies have been an effect on filling balances in multi-cavity molds. But, those have had a limitation that additional insert parts must have existed in the mold. In this study, a new runner system is suggested for filling balance between cavity to cavity using "Melt-Buffer" with simple change of runner shape. A series of simulation to confirm feasibility of Melt-Buffer's effects was conducted using injection molding CAE program. Also, a series of injection molding experiment was conducted using plastic materials such as ABS and PP. As results of this study, feasibilities of filling balances by Melt-Buffer were confirmed.

Optimal Buffer Allocation in Multi-Product Repairable Production Lines Based on Multi-State Reliability and Structural Complexity

  • Duan, Jianguo;Xie, Nan;Li, Lianhui
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.14 no.4
    • /
    • pp.1579-1602
    • /
    • 2020
  • In the design of production system, buffer capacity allocation is a major step. Through polymorphism analysis of production capacity and production capability, this paper investigates a buffer allocation optimization problem aiming at the multi-stage production line including unreliable machines, which is concerned with maximizing the system theoretical production rate and minimizing the system state entropy for a certain amount of buffers simultaneously. Stochastic process analysis is employed to establish Markov models for repairable modular machines. Considering the complex structure, an improved vector UGF (Universal Generating Function) technique and composition operators are introduced to construct the system model. Then the measures to assess the system's multi-state reliability and structural complexity are given. Based on system theoretical production rate and system state entropy, mathematical model for buffer capacity optimization is built and optimized by a specific genetic algorithm. The feasibility and effectiveness of the proposed method is verified by an application of an engine head production line.

(A Study on an Adaptive Multimedia Synchronization Scheme for Media Stream Transmission) (미디어 스트림 전송을 위한 적응형 멀티미디어 동기화 기법에 관한 연구)

  • 지정규
    • Journal of the Korea Computer Industry Society
    • /
    • v.3 no.9
    • /
    • pp.1251-1260
    • /
    • 2002
  • Real-time application programs have synchronization constraints which need to be met between media-data. Synchronization method represents feedback method including virtual client-side buffer. This buffer is used in buffer level method. It is client-leading synchronization that is absorbing variable transmission delay time and that is synchronizing by feedback control. It is the important factor for playback rate and QoS if the buffer level is normal or not. To solve the problems, we can control the start of transmission in multimedia server by appling filtering, control and network evaluation function. Synchronization method is processing for smooth presentation without cut-off while media is playing out. When audio frame which is master media is in high threshold buffer level we decrease play out time gradually, otherwise we increase it slowly.

  • PDF

Effect of buffer layer on YBCO film deposited on Hastelloy substrate ($CeO_2$의 상전이에 따른 YBCO 박막의 결정성 및 특성의 변화)

  • Kim, Sung-Min;Lee, Sang-Yeol
    • Proceedings of the KIEE Conference
    • /
    • 1999.11d
    • /
    • pp.873-875
    • /
    • 1999
  • We have fabricated good quality superconducting $YBa_{2}Cu_{3}O_{7-\delta}$ thin films on Hastelloy(Ni-Cr-Mo alloys) metallic substrate with $CeO_2$ and $BaTiO_3$ buffer layers in-situ by pulsed laser deposition in a multi-target processing chamber. We have chosen $CeO_2$ as a buffer layer which has cubic structure of $5.41{\AA}$ lattice parameter and only 0.2% of lattice mismatch with YBCO. $CeO_2$ layer may be helpful for power transmission due to its conducting property. In order to enhance the crystallization of YBCO films on metallic substrates. we deposited $CeO_2$ and $BaTiO_3$ buffer layers at various temperatures. The YBCO superconducting tape fabricated with $BaTiO_3$ and $CeO_2$ buffer layers shows 85K of transition temperature and about $8.4{\times}10^4A/cm^2$ of critical current density at 77K.

  • PDF

Fabrication of YBCO superconducting film with $CeO_{2}/BaTiO_{3}$double buffer layer ($CeO_{2}/BaTiO_{3}$ 이중완충막을 이용한 YBCO 박막 제작)

  • 김성민;이상렬
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2000.07a
    • /
    • pp.790-793
    • /
    • 2000
  • We have fabricated good quality superconducting YBa$_2$Cu$_3$$O_{7-x}$(YBCO) thin films on Hastelloy(Ni-Cr-Mo alloys) metallic substrates with CeO$_2$and BaTiO$_3$buffer layers in-situ by pulsed laser deposition in a multi-target processing chamber. YBCO film with CeO$_2$ single buffer layer shows T$_{c}$ of 71.64 K and the grain size less than 0.1 ${\mu}{\textrm}{m}$. When BaTiO$_3$is used as a single buffer layer, the grain size of YBCO is observed to be larger than that of YBCO/CeO$_2$by 200 times and the transition temperature of the film is enhanced to be about 84 K. CeO$_2$/BaTiO$_3$double buffer layer has been adopted to enhance the superconducting properties, which results in the enhancement of the critical temperature and the critical current density to be about 85 K and 8.4 $\times$ 10$^4$ A/cm$^2$ at 77 K, respectively mainly due to the enlargement of the grain size of YBCO film.ilm.

  • PDF

Efficient Buffer-Overflow Prevention Technique Using Binary Rewriting (이진 코드 변환을 이용한 효과적인 버퍼 오버플로우 방지기법)

  • Kim Yun-Sam;Cho Eun-Sun
    • The KIPS Transactions:PartC
    • /
    • v.12C no.3 s.99
    • /
    • pp.323-330
    • /
    • 2005
  • Buffer overflow is one of the most prevalent and critical internet security vulnerabilities. Recently, various methods to prevent buffer overflow attacks have been investigated, but they are still difficult to apply to real applications due to their run-time overhead. This paper suggests an efficient rewrite method to prevent buffer-overflow attacks only with lower costs by generating a redundant copy of the return address in stack frame and comparing return address to copied return address. Not to be overwritten by the attack data the new copy will have the lower address number than local buffers have. In addition, for a safer execution environment, every vulnerable function call is transformed during the rewriting procedure.

An Efficient Buffer Management Technique Using Spatial and Temporal Locality (공간 시간 근접성을 이용한 효율적인 버퍼 관리 기법)

  • Min, Jun-Ki
    • The KIPS Transactions:PartD
    • /
    • v.16D no.2
    • /
    • pp.153-160
    • /
    • 2009
  • Efficient buffer management is closely related to system performance. Thus, much research has been performed on various buffer management techniques. However, many of the proposed techniques utilize the temporal locality of access patterns. In spatial database environments, there exists not only the temporal locality but also spatial locality, where the objects in the recently accessed regions will be accessed again in the near future. Thus, in this paper, we present a buffer management technique, called BEAT, which utilizes both the temporal locality and spatial locality in spatial database environments. The experimental results with real-life and synthetic data demonstrate the efficiency of BEAT.

A High-Speed Data Processing Algorithm for RFID Input Data Stream Using Multi-Buffer (RFID 입력 데이터 스트림에 대한 다중 버퍼 기반의 고속 데이터 처리 알고리즘)

  • Han, Soo;Park, Sang-Hyun;Shin, Seung-Ho
    • Journal of the Korea Society of Computer and Information
    • /
    • v.13 no.2
    • /
    • pp.79-85
    • /
    • 2008
  • The middleware that provides RFID-based ubiquitous application service should process the data inputted constantly in real time, and acquire and deliver the answers of the questions in the application service. Studies for developing a Data Stream Management System(DSMS) has been performed in order to process a large amount of data stream inputted constantly in this way. Previous algorithms on data stream were mostly focused on reducing the average error between the answers of the successive questions and abandon the data according to the priority of them when a load occurs. This article is composed of presenting the necessity of the studies on the DSMS and speedy data processing, suggesting an algorithm to make Possible the speedy data processing using buffers and prompt questions and answers, and testing the performance of the data processing rate and whether a buffer is generated correspondingly to the algorithm suggested, in either a single or a multiple buffer, through simulations.

  • PDF