• Title/Summary/Keyword: Branch system

검색결과 1,333건 처리시간 0.234초

전원측 정전 및 순간전압강하가 수용가에 미치는 영향 조사 (Survey of the Effects on 154kV Electrical Power Customers' Productive Activities by the Instantaneous Voltage Sag or Interruption in Power System)

  • 이석규;박희우;김인건;이종학;김영주
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2001년도 춘계학술대회 논문집 전력기술부문
    • /
    • pp.273-274
    • /
    • 2001
  • This paper presents the effects on 154kV electrical power customers' productive activities by the instantaneous voltage sag or interruption in power system. According to the survey all respondents (8customers) experienced the breakdown of facilities when instantaneous voltage sag over about twenty percents of pre-fault occurred in power system.

  • PDF

안전공급계획에 따른 판매지점들의 협조공급수준 분석 (An Analysis of Cooperation Service Level using Safety Shipment Plan)

  • 윤승철;민지영
    • 대한안전경영과학회지
    • /
    • 제8권2호
    • /
    • pp.115-128
    • /
    • 2006
  • The study analyzes those relations of customer service level of each sales branch, level of cooperations among branches, and overall system-wide service level for an item. Under the continuous review method, each sales branch places an order to the outside supplier, and the each branch receives the order quantity after elapsing a certain lead time. Under these circumstances, those branches with stockout condition may be supplied by other branches with keeping stocks to cover the shortages. This policy generally increases the system-wide customer service level for an item throughout cooperations for the safety plan among branches. Therefore, in the context of inventory policy, the decision rules to determine the proper branch levels of service and cooperation levels of service are important goals in terms of attaining desired system-wide service level. This research has suggested the method and procedure to reach above goals.

On the Transition between Stable Steady States in a Model of Biochemical System with Positive Feedback

  • Kim, Cheol-Ju;Lee, Dong-Jae;Shin, Kook-Joe
    • Bulletin of the Korean Chemical Society
    • /
    • 제11권6호
    • /
    • pp.557-560
    • /
    • 1990
  • The transition from one stable steady state branch to another stable steady state branch in a simple metabolic system with positive feedback is discussed with the aid of the bimodal Gaussian probability distribution method. Fluctuations lead to transitions from one stable steady state branch to the other, so that the bimodal Gaussian evolves to a new distribution. We also obtain the fractional occupancies in the two stable steady states in terms of a parameter characterizing conditions of the system.

유방염 감염 우유에서 분리된 Staphylococcus sp의 지방산 조성 비교 (Comparison of fatty acid composition of Staphylococcus sp isolated from bovine mastitis milk)

  • 김순태;김신;김상윤;손재원
    • 한국동물위생학회지
    • /
    • 제20권1호
    • /
    • pp.37-45
    • /
    • 1997
  • The result of API staph-ident system was compared with cellular fatty acid composition for the identification of Staphylococcus species isolated from cattle. Isolated strains from cattle were correctly identified to S aureus, S intermedius, S hyicus, S simulans, S saprophyticus, S epidemis, S sciuri and S xylosus by API staph-ident system. The correlation between bacterial cellular fatty acid profile and Staphylococcus species isolated to API STAPH-IDENT system were. In conclusion, the result presented indicate that Staphylococci can be indentified to the species level by the cellular fatty acid profiles. Moreover, computerized fatty acid profile correlative anaylsis can be applied for determining identify of Staphylococcus species.

  • PDF

원형 T분기배관 내 누설유동의 열성층화와 난류침투에 관한 전산해석적 연구 (Numerical Analysis of Thermal Stratification and Turbulence Penetration into Leaking Flow in a Circular Branch Piping)

  • 한성민;최영돈
    • 대한기계학회:학술대회논문집
    • /
    • 대한기계학회 2003년도 춘계학술대회
    • /
    • pp.1833-1838
    • /
    • 2003
  • In the nuclear power plant, emergency core coolant system(ECCS) is furnished at reactor coolant system(RCS) in order to cool down high temperature water in case of emergency. However, in this coolant system, thermal stratification phenomenon can be occurred due to coolant leaking in the check valve. The thermal stratification produces excessive thermal stresses at the pipe wall so as to yield thermal fatigue crack(TFC) accident. In the present study, when the turbulence penetration occurs in the branch piping, the maximum temperature differences of fluid at the pipe cross-sections of the T-branch with thermal stratification are examine

  • PDF

간호감독 업무현황에 관한 연구 (A Survey on the Role of Supervisor Nurse)

  • 조원정;김소야자;문희자;양원영;최영희
    • 대한간호
    • /
    • 제19권5호통권108호
    • /
    • pp.39-49
    • /
    • 1980
  • The survey was performed with supervisor nurse at 30 general hospitals which had a system in concerning about supervisor nurse in seoul from Nov. 15 to Dec. 2, 1979. The findings as follows; 1. Age distribution of the subjects were from 25 to 65, most of

  • PDF

구기자 가지의 진동 특성 (Vibration Characteristics of Boxthorn (Lycium Chinense Mill) Branch)

    • 한국농업기계학회:학술대회논문집
    • /
    • 한국농업기계학회 2001년도 동계 학술대회 논문집
    • /
    • pp.292-309
    • /
    • 2001
  • Modulus of elasticity, modulus of rigidity, damping ratio, and natural frequency of three varieties of boxthorn(Lycium chinense Mill) (Cheongyang #2, Cheongyang gugija, and Cheongyang native) branches were analyzed. Modulus of elasticity and modulus of rigidity of the boxthorn branch was determined using standard formula after simple beam bending and torsion test, respectively, using an universal testing machine. Damping ratio and natural frequency of branches were determined using a system consisted of an accelerometer, a PC equipped with A/D converter, and a software for data analysis. Relationship between the elastic modulus and branch diameter in overall varieties and branch types showed a good correlation (r$\cong$-0.81). There was, however, no correlation between torsional rigidity and branch diameter. The internal damping results were highly variable and the overall range of the damping ratio of the boxthorn branch was 0.014 -0.087, which indicated that the branch was a lightly damped structure. The natural frequency of the boxthorn branch was in the range of 89-363 rad/s for the overall varieties and branch types. A good correlation (r$\cong$0.82) existed between the natural frequency and branch diameter in overall varieties and branch type.

  • PDF

슈퍼스칼라 프로세서를 위한 고성능 하이브리드 동적 분기 예측 (Hybrid Dynamic Branch Prediction to Reduce Destructive Aliasing)

  • Park, Jongsu
    • 한국정보통신학회논문지
    • /
    • 제23권12호
    • /
    • pp.1734-1737
    • /
    • 2019
  • This paper presents a prediction structure with a Hybrid Dynamic Branch Prediction (HDBP) scheme which decreases the number of stalls. In the application, a branch history register is dynamically adjusted to produce more unique index values of pattern history table (PHT). The number of stalls is also reduced by using the modified gshare predictor with a long history register folding scheme. The aliasing rate decreased to 44.1% and the miss prediction rate decreased to 19.06% on average compared with the gshare branch predictor, one of the most popular two-level branch predictors. Moreover, Compared with the gshare, an average improvement of 1.28% instructions per cycle (IPC) was achieved. Thus, with regard to the accuracy of branch prediction, the HDBP is remarkably useful in boosting the overall performance of the superscalar processor.

편측 분기형 러너 배열을 가진 다수 캐비티 사출금형에서의 충전 불균형도 (The Filling Imbalance in Multi-Cavity Injection Molds with Unary Branch Type Runner Lay-out)

  • 강철민;정영득
    • 소성∙가공
    • /
    • 제13권7호
    • /
    • pp.580-585
    • /
    • 2004
  • Almost all injection molds have multi-cavity runner system fur productivity and are designed with geometrically balanced runner system in order to minimize filling imbalance between cavity to cavity during processing. However, filling imbalances have been observed though geometrically balanced runner lay-out. Generally, these filling imbalances are due to thermal unbalance, viscosity, characteristic of polymers and so on. These kinds of filling imbalances have already been reported by Beaumont since 1997, but his research has mainly focused on filling imbalance at binary runner. In this study, we conducted an experimental study about the filling imbalances in unary branch runner as well as binary branch runner and inquired into the causes of filling imbalances. The results could be summarized as fellowing: Filling imbalances existed in multi-cavity mold with unary branch runner, it could be decreased by optimizing processing condition such as increasing injection rate, and it is almost proportion to each polymer's temperature sensibility.

Nonlinear Feature Transformation and Genetic Feature Selection: Improving System Security and Decreasing Computational Cost

  • Taghanaki, Saeid Asgari;Ansari, Mohammad Reza;Dehkordi, Behzad Zamani;Mousavi, Sayed Ali
    • ETRI Journal
    • /
    • 제34권6호
    • /
    • pp.847-857
    • /
    • 2012
  • Intrusion detection systems (IDSs) have an important effect on system defense and security. Recently, most IDS methods have used transformed features, selected features, or original features. Both feature transformation and feature selection have their advantages. Neighborhood component analysis feature transformation and genetic feature selection (NCAGAFS) is proposed in this research. NCAGAFS is based on soft computing and data mining and uses the advantages of both transformation and selection. This method transforms features via neighborhood component analysis and chooses the best features with a classifier based on a genetic feature selection method. This novel approach is verified using the KDD Cup99 dataset, demonstrating higher performances than other well-known methods under various classifiers have demonstrated.