Browse > Article
http://dx.doi.org/10.6109/jkiice.2019.23.12.1734

Hybrid Dynamic Branch Prediction to Reduce Destructive Aliasing  

Park, Jongsu (System LSI Division, Samsung Electronics)
Abstract
This paper presents a prediction structure with a Hybrid Dynamic Branch Prediction (HDBP) scheme which decreases the number of stalls. In the application, a branch history register is dynamically adjusted to produce more unique index values of pattern history table (PHT). The number of stalls is also reduced by using the modified gshare predictor with a long history register folding scheme. The aliasing rate decreased to 44.1% and the miss prediction rate decreased to 19.06% on average compared with the gshare branch predictor, one of the most popular two-level branch predictors. Moreover, Compared with the gshare, an average improvement of 1.28% instructions per cycle (IPC) was achieved. Thus, with regard to the accuracy of branch prediction, the HDBP is remarkably useful in boosting the overall performance of the superscalar processor.
Keywords
Branch; Dynamic Prediction; Branch History; Prediction Accuracy;
Citations & Related Records
연도 인용수 순위
  • Reference
1 A. Mondelli, "Revisiting wide superscalar microarchitecture," Ph. D. dissertation, University of Rennes 1, Rennes, France, 2017.
2 Y. Hou, H. He, X. Yang, D. Guo, X. Wang, J. Fu, and K. Qiu. (2016, October). FuMicro: A fused microarchitecture design integrating in-order superscalar and VLIW. VLSI Design [Internet] Available: http://dx.doi.org/10.1155/2016/8787919.   DOI
3 M. Alipour, T. E. Carlson, D. Black-Schaffer, and S. Kaxiras, "Maximizing limited resources: a limit-based study and taxonomy of out-of-order commit," Journal of Signal Processing Systems, vol. 91, pp. 379-397, Apr. 2019.   DOI
4 T.-Y. Yeh, and Y. N. Patt, "Alternative implementations of two-level adaptive branch prediction," in Proceedings of the 19th International Symposium on Computer Architecture, Queensland: Australia, pp. 124-134, 1992.
5 S. McFarling, "Combining branch predictors," Western Research Laboratory, Palo Alto: CA, Technical Report TN-36, 1993.
6 R. Thomas, M. Franklin, C. Wilkerson, and J. Stark, "Improving branch prediction by dynamic dataflow-based identification of correlated branches from a large global history," in Proceedings of the 30th International Symposium on Computer Architecture, San Diego: CA, pp. 314-323, 2003.
7 J. W. Kwak, and C. S. Jhon, "Dynamic Per-Branch History Length Adjustment to Improve Branch Prediction Accuracy," Microprocessors and Microsystems, vol. 31, pp. 63-76, Feb. 2007.   DOI
8 S. Mittal, A survey of techniques for dynamic branch prediction. (2018, September). Concurrency and Computation [Internet]. Available: https://doi.org/10.1002/cpe.4666.   DOI