• Title/Summary/Keyword: Branch Flow

Search Result 450, Processing Time 0.03 seconds

Effect of a Variation of a Main Duct Area on Flow Distribution of Each Branch (주덕트의 단면적 변화가 분지덕트의 유량분배에 미치는 영향)

  • Lee Jai-Ho;Kim Beom-Jun;Cho Dae-Jin;Yoon Suck-Ju
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.17 no.4
    • /
    • pp.386-395
    • /
    • 2005
  • With the development of a living standard, the importance of indoor air conditioning system in all kinds of buildings and vehicles has increased. A lot of researches on energy losses in a duct and various kinds of flow pattern in branches or junctions have been carried out over many years, because the primary object of a duct system used in HVAC is to provide equal flow rate in the interior of each room by minimizing pressure drop. In this study, to get equal flow distribution in each branch, a blockage is applied to the rectangular duct system. The flow analysis for flow distribution of a rectangular duct with two branches was performed by CFD. By using SIMPLE algorithm and finite volume method, flow analysis is performed in the case of 3-D, incompressible, turbulent flow. Also, the standard $k-{\varepsilon}$ model and wall function method were used for analysis of turbulent fluid flow. The distribution diagrams of static pressure, velocity vector, turbulent energy and kinetic energy in accordance with variation of Reynolds number and blockages location in a rectangular duct show that flow distribution at duct outlets is improved by a blockage. In this rectangular duct system, mean velocity and flow rate distribution in two branch outlets are nearly constant regardless of variation of Reynolds number, and a flow pattern of the internal duct has a same tendency as well.

A Branch and Bound Algorithm for Two-Stage Hybrid Flow Shop Scheduling : Minimizing the Number of Tardy Jobs (2단계 혼합흐름공정에서 납기 지연 작업수의 최소화를 위한 분지한계 알고리듬)

  • Choi, Hyun-Seon;Lee, Dong-Ho
    • Journal of Korean Institute of Industrial Engineers
    • /
    • v.33 no.2
    • /
    • pp.213-220
    • /
    • 2007
  • This paper considers a two-stage hybrid flow shop scheduling problem for the objective of minimizing the number of tardy jobs. Each job is processed through the two production stages in stages, each of which has multiple identical parallel machines. The problem is to determine the allocation and sequence of jobs at each stage. A branch and bound algorithm that gives the optimal solutions is suggested that incorporates the methods to obtain the lower and upper bounds. Dominance properties are also suggested to reduce the search space. To show the performance of the algorithm, computational experiments are done on randomly generated problems, and the results are reported.

Numerical Analysis of Branch Flows for Newtonian and Non-Newtonian Fluids (뉴턴유체와 비뉴턴유체에 대한 분기관 유동의 수치해석)

  • 서상호;유상신;노형운
    • Transactions of the Korean Society of Mechanical Engineers
    • /
    • v.18 no.10
    • /
    • pp.2762-2772
    • /
    • 1994
  • Branch flows for Newtonian and non-Newtonian fluids are simulated by the finite volume method. The modified power-law model is employed as a constitutive equation of the non-Newtonian fluids. Numerical analyses are focused on understanding of flow patterns for different values of branch angles, diameter ratios and Reynolds numbers. The numerical results are compared with the existing experimental data. The calculated velocity profiles and pressure variations are in good agreement with available experimental results.

Changes in Bypass Flow during Temporary Occlusion of Unused Branch of Superficial Temporal Artery

  • Kim, Joon-Young;Jo, Kwang-Wook;Kim, Young-Woo;Kim, Seong-Rim;Park, Ik-Seong;Baik, Min-Woo
    • Journal of Korean Neurosurgical Society
    • /
    • v.48 no.2
    • /
    • pp.105-108
    • /
    • 2010
  • Objective : Some neurosurgeons intentionally ligate the branches of the superficial temporal artery (STA) that are not used in standard STA-to-middle cerebral artery (MCA) anastomosis for the purpose of improving the flow rate in the bypass graft. We investigated changes in bypass flow during temporary occlusion of such unused branches of the STA. Methods : Bypass blood flow was measured by a quantitative microvascular ultrasonic flow probe before and after temporary occlusion of branches of the STA that were not used for anastomosis. We performed measurements on twelve subjects and statistically assessed changes in flow. We also examined all the patients with digital subtraction angiography in order to observe any post-operative changes in STA diameter. Results : Initial STA flow ranged from 15 mL/min to 85 mL/min, and the flow did not change significantly during occlusion as compared with preocclusion flow. The occlusion time was extended by 30 minutes in all cases, but this did not contribute to any significant flow change. Conclusion : The amount of bypass flow in the STA seems to be influenced not by donor vessel status but by recipient vessel demand. Ligation of the unused STA branch after completion of anastomosis does not contribute to improvement in bypass flow immediately after surgery, and furthermore, carries some risk of skin necrosis. It is better to leave the unused branch of the STA intact for use in secondary operation and to prevent donor vessel occlusion.

Determination of Reactive Power Compensation Considering Large Disturbances for Power Flow Solvability in the Korean Power System

  • Seo, Sang-Soo;Kang, Sang-Gyun;Lee, Byong-Jun;Kim, Tae-Kyun;Song, Hwa-Chang
    • Journal of Electrical Engineering and Technology
    • /
    • v.6 no.2
    • /
    • pp.147-153
    • /
    • 2011
  • This paper proposes a methodology using a tool based on the branch-parameter continuation power flow (BCPF) in order to restore the power flow solvability in unsolvable contingencies. A specified contingency from a set of transmission line contingencies is modeled, considering the transient analysis and practice in the Korean power system. This tool traces a solution path that satisfies the power flow equations with respect to the variation of the branch parameter. At a critical point, in which the branch parameter can move on to a maximum value, a sensitivity analysis with a normal vector is performed to identify the most effective compensation. With the sensitivity information, the location of the reactive power compensation is determined and the effectiveness of the sensitivity information is verified to restore the solvability. In the simulation, the proposed framework is then applied to the Korean power system.

Characteristics of T-phase flow distribution and pressure drop in a horizontal T-type evaporator tube (수평 T형 증발관내 2상류의 유량분배 및 압력강하 특성)

  • 박종훈;조금남;조홍기
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.11 no.5
    • /
    • pp.658-668
    • /
    • 1999
  • The objective of the present study is to investigate the effect of experimental parameters on the hydrodynamic characteristics in a horizontal tee-type evaporator using R-22. The experimental apparatus consisted of an unheated tee-type test section, a liquid-vapor separator, a preheated, mass flow meters, a plate heat exchanger, pump, and other measurement devices. The experimental parameters were mass flux(500 and 600kg/$m^2$s), inlet quality(0.1~0.3) and separation ratio(0.3~0.7). Absolute pressure at the inlet of the test section was 0.652 MPa. The branch-to-inlet inner diameter ratio was 0.61. Pressure gradient at the branch section was larger than that at the run section at the same separation ratio. Pressure drop per unit length increased at the run section and decreased at the branch section as the separation ratio increased. Pressure drop predicted by the separated flow model agreed with experimental data within -35 to +16%. Generally, predicted values showed similar trend with the data. Mass flow ratio of vapor refrigerant was affected by the inlet quality more than the mass flux.

  • PDF

Ice slurry transporting and branching characteristics for the district cooling (지역냉방을 위한 아이스슬러리 시스템의 수송 및 분기 특성)

  • Lee, Sang-Hoon;Yoo, Ho-Seon;Lee, Yoon-Pyo;Lee, Chang-Jun;Kwon, Hyeok-Min
    • Proceedings of the SAREK Conference
    • /
    • 2009.06a
    • /
    • pp.662-667
    • /
    • 2009
  • The research are performed to check the characteristics of the ice slurry transport system for the district cooling. The system are installed at the 1st floored building which is as large as the $1204\;m^2$ ($86\;m{\times}14\;m$), and the pumping power and branching characteristics are measured by transporting of the ice slurry. The ice slurry transporting pipe is as long as 200 m. For the same cooling load, the higher IPF is, the lower the transporting flow rate and the pumping power are. But when the IPF is higher than 15%, no less decrease of the pumping power does happen. For the branching characteristics, through the branch pipe where the flow resistance is higher, the higher IPF is measured. A little higher IPF is measured at the thermal expansion branch.

  • PDF

A Study on the Mitigation Schemes of Thermal Stratification Phenomenon in a Branch Piping (분기배관에서의 열성층 현상 완화방안에 관한 연구)

  • Park Man-Heung;Kim Kwang-Chu;Lee Seung-Chul
    • Korean Journal of Air-Conditioning and Refrigeration Engineering
    • /
    • v.18 no.7
    • /
    • pp.603-611
    • /
    • 2006
  • A variety of schemes were sought for a mitigation of thermal stratification phenomenon in the branch piping of domestic nuclear power plant. Several mechanisms of thermal stratification occurrence were introduced in this paper. A number of factors were selected to find out the schemes for thermal stratification mitigation and the computational analysis were performed. The length of vertical branch piping, the diameter, the radius of curvature of the elbow, the direction of connection between main piping and branch piping, the slope of branch piping, the leakage flow rate, the installation of additional valve, the change of the 1st valve position and another branch piping connected with branch piping were mentioned as factors in this paper.

A Combined BTB Architecture for effective branch prediction (효율적인 분기 예측을 위한 공유 구조의 BTB)

  • Lee Yong-hwan
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.9 no.7
    • /
    • pp.1497-1501
    • /
    • 2005
  • Branch instructions which make the sequential instruction flow changed cause pipeline stalls in microprocessor. The pipeline hazard due to branch instructions are the most serious problem that degrades the performance of microprocessors. Branch target buffer predicts whether a branch will be taken or not and supplies the address of the next instruction on the basis of that prediction. If the hanch target buffer predicts correctly, the instruction flow will not be stalled. This leads to the better performance of microprocessor. In this paper, the architecture of a ta8 memory that branch target buffer and TLB can share is presented. Because the two tag memories used for branch target buffer and TLB each is replaced by single combined tag memory, we can expect the smaller chip size and the faster prediction. This shared tag architecture is more advantageous for the microprocessors that uses more bits of address and exploits much more instruction level parallelism.

Flow Visualization of Pulsatile Flow in a Branching Tube using the PIV System and Numerical Analysis (PIV와 수치해석을 이용한 분지관내 맥동유동의 가시화)

  • Roh, Hyung-Woon;Suh, Sang-Ho;Yoo, Sang-Sin
    • Proceedings of the KSME Conference
    • /
    • 2000.04b
    • /
    • pp.535-540
    • /
    • 2000
  • The objective of the present study is to visualize the pulsatile flow fields by using three-dimensional computer simulation and the PIV system. A closed flow loop system was built for the steady and unsteady experiments. The Harvard pulsatile pump was used to generate the pulsatile pressure and velocity waveforms. Conifer powder as the tracing particles was added to water to visualize the flow field. Two consecutive particle images were captured by a CCD camera for the image processing. The cross-correlation method in combination with the moving searching area algorithm was applied for the image processing of the flow visualization. The pulsatile flow fields were visualized effectively by the PIV system in conjunction with the applied algorithm. The range validation and the area interpolation methods were used to obtain the final velocity vectors with high accuracy. The finite volume predictions were used to analyze three-dimensional flow patterns in the bifurcation model. The results of the PIV experiment and the computer simulation are in good agreement and the results show the recirculation zones and formation of the paired secondary flow distal to the apex of the bifurcated model. The results also show that the branch flow is pushed strongly to the inner wall due to the inertial force effect and helical motions are generated as the flow proceeds toward the outer wall.

  • PDF