• Title/Summary/Keyword: 3-D Die Design

Search Result 231, Processing Time 0.038 seconds

A study on 3D press die design process for Ez5 (Ez5의 3D 프레스 금형 설계 프로세스에 관한 연구)

  • Kim, Sei-hwan;Choi, Kye-kwang
    • Design & Manufacturing
    • /
    • v.8 no.1
    • /
    • pp.14-18
    • /
    • 2014
  • Even though 3D press die design gains more attention everyday in Korea, there are shortages of infrastructure and proper environments for it. What is most needed is human resources development and 3D die design standardization, on which the pace of 3D die design adoption depends. This study focuses on 3D press die design process by looking into an instance in which 3D die design system had been used to sell dies to a company "S" in Japan.

  • PDF

A study on 3D design for wall-mounted air-conditioner parts (가정용 벽걸이 에어컨 부품의 3D 설계에 관한 연구)

  • Kim, Sei-hwan;Choi, Kye-kwang
    • Design & Manufacturing
    • /
    • v.8 no.1
    • /
    • pp.5-9
    • /
    • 2014
  • This study is about 3D design for parts supporting copper pipes of wall-mounted air-conditioners manufactured by company "D" in Japan. Domestic press die manufacturers are obsessed with 2D die design and are afraid of taking risk with developing 3D die design and die manufacture. This hinders paradigm shift. In this study, the strip layout, which was already completed in the previous study, is altered by adding restriking. Based on the modified strip layout, the 3D die design is done in 15 processes.

  • PDF

A Design of Press Die Components by Use of 3D CAD Library (3차원 CAD라이브러리를 이용한 프레스 금형 부품의 설계)

  • Park C. H.;Lee S. S.
    • Korean Journal of Computational Design and Engineering
    • /
    • v.9 no.4
    • /
    • pp.373-381
    • /
    • 2004
  • Using standard components of a press die is recognized as a way for a cost reduction and a short lead time. It also provides a way for a quick maintenance of a die under repair. It is expected to contribute to integration of CAD/CAM system for manufacturing dies in the future. This paper presents a 3D CAD library which is constructed using the standard components and is used for designing a press die. This 3D CAD library is generated by a database system made of Microsoft Access for standard components and by CATIA V5 R10 API for geometric features. The library is implemented using Visual Basic 6.0 utility of CATIA API function in the Windows NT environment. It creates a 3D model of the standard components of press die easily when a die designer inputs numerical values of geometric features and the BOM of the completely assembled parts. It also generates automatically the assembly drawing of die set by using variables for standard values of die parts. Therefore users can save the cost of time to design the press die components, and even a beginner can use this program with ease. The test results of the 3D CAD library for designing shearing and bending dies verify its usefulness and feasibility.

Study on the Design of Bracket Strip Layout Using Cimatron Die Design (씨마트론 다이 디자인을 활용한 브라켓의 스트립 레이아웃설계에 관한 연구)

  • Choi, Kye-Kwang;Lee, Dong-Cheon
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.9 no.5
    • /
    • pp.1113-1118
    • /
    • 2008
  • Strip layout design in progressive die is an important factor that determines the applicability of mass production. In this study, the layout design of bracket strips used fer automobiles was executed. The strip layout design was made in 3D with the use of Cimatron die design, an automation module rather than a 3D modeling module. Blank layout has been optimized through a layer arrangement of the wide run positioning inside carrier (double-row and two-pass type). The 3D CAD/CAM software used was Cimatron Die Design; the strip layout design was completed in ten processes.

A Study on the Automatic Design Supporting for Automobile Bonnet Tools (자동차 보닛금형의 자동설계 지원시스템에 관한 연구)

  • 정효상
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.21 no.5
    • /
    • pp.131-141
    • /
    • 2004
  • In this study a 3-D automatic die design supporting system for a bonnet panel has been developed using Pro/ROGRAM of the widespread CAD software Pro/ENGINEER A standard drawing die was defined in terms of the punch profile, the die face geometry, and the blank sheet size. The strip layout of a trimming die was defined, in addition, in terms of the trimming line and the locations of scrap cutters. Necessary relations for each design step are formulated and rules for bottom-up type 3-D die design were set up for the automatic design of drawing and trimming dies of a bonnet. With the input geometric data of punch profile, die face, and blank sheet, this 3-D design supporting system could complete the basic design process, in case of the bonnet drawing die, in a time 78% shorter than that required by a typical 2-D CAD system. The new design system showed remarkable design efficiency also when it was applied to the case of redesign and modification of the previous standard output for a different car type.

Bonnet Drawing Die Design Aided System using 3-Dimensional Lay-Out (3-D Lay-Out을 이용한 본네트 드로잉 금형 설계 지원 시스템)

  • 정효상;이성수
    • Proceedings of the Korean Society of Machine Tool Engineers Conference
    • /
    • 2001.04a
    • /
    • pp.382-386
    • /
    • 2001
  • Die design of bonnet drawing is composed of upper die, lower die and blank holder. It has been performed by checked and re-design method, which cause economic and financial loss. Nowadays, CAD/CAM system is excellent, but Application is low. Therefore, in specific item, drawing die of bonnet outer draw by 3-D Lay-Out. In this study purpose, Bonnet drawing die is designed rapidly, correctly. It's method that shape modify to resemble. This purpose lead to 3-D Lay-Out. It is to react the standard die. In rule relation, input data change all of the shape

  • PDF

Development of Three-dimensional CAD System for Die Design for Automotive Body Panels (자동차 프레스 금형 설계를 위한 3차원 CAD 시스템의 개발)

  • Lee, Sang-Hwa;Ryu, Seung-Woo;Lee, Sang-Hun
    • Korean Journal of Computational Design and Engineering
    • /
    • v.12 no.1
    • /
    • pp.39-49
    • /
    • 2007
  • Recently three-dimensional (3-D) die design and production process has been widely introduced into the tooling shops of automotive manufacturers to reduce time-to-production of brand-new automobiles. 3-D solid models created in CAD systems are used not only for various simulations for design verification, but also for NC tool path generation to machine dies and their Styrofoam patterns. However, a lot of time and cost will be required to build solid models for dies if designers use only the generalized modeling capabilities of commercial 3-D CAD systems. To solve this problem, it is necessary to customize 3-D CAD system for the specific die design and manufacturing process. This paper describes a dedicated 3-D CAD system based on Unigraphics for die design for automotive body panels. The system provides not only 3-D design capabilities, but also standard part libraries, to enhance design productivity. The design process modeling technology has been introduced to facilitate redesign of the die for the modified panel. By introducing this system, dies can be designed more rapidly in the 3-D space, and their solid data can be directly transferred to CAM tools for NC tool path generation and simulation tools for virtual manufacturing.

The Forging Die Design of Scroll Rotor by using the 3-D FEM Analysis (3차원 유한요소해석을 이용한 스크롤 로터의 단조 금형 설계)

  • Lee, Young-Seon;Lee, Jung-Hwan
    • Proceedings of the KSME Conference
    • /
    • 2001.06c
    • /
    • pp.111-115
    • /
    • 2001
  • The die design for hot forging was investigated for manufacturing precisely of scroll rotor made with Al-Si alloy. A scroll rotor is a non-symmetric 3-D shape part, having involute wraps. Disk-shaped billet of Al-Si alloy was extruded to wraps and boss simultaneously. Because the involute wraps is not axi-symmetric, the flow velocity and the stress of die is very much different at each portion. Moreover, the die in wraps portion is a cantilever beam and fractured. In this paper, the analysis of forming and die stress is investigated using the FEM tool, DEFORM-3D. The tensile strength of tool material is $250kg/mm^{2}$. From the analysis results, we can find the maximum principal stress of die is over the fracture strength and redesign the die. The prototype forged part is superior in net shaping and microstructure.

  • PDF

Automatic Design Supporting System for Automobile Stamping Tool (자동차 프레스 금형 자동 설계 지원 시스템)

  • Jeong, Hyo-Sang;Lee, Seong-Su
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.19 no.8
    • /
    • pp.194-202
    • /
    • 2002
  • Die design of bonnet drawing is composed of upper die, lower die and blank holder. It has been performed by checked and re-design method, which cause economic and financial loss. Nowadays, CAD/CAM system is excellent, but application is low. Therefore, in specific item, drawing die of bonnet outer draw by 3-D lay-out. In this study purpose, Bonnet drawing die is designed rapidly, correctly. It's method that shape modify to resemble. This purpose lead to 3-D Lay-Out. It is to react the standard die. In rule relation, input data change all of the shape.

A Study for Three-Dimensional Die Design of Automobile Rear Frame (자동차용 리어프레임의 3차원 금형설계에 관한 연구)

  • 정효상;이성수
    • Proceedings of the Korean Society of Machine Tool Engineers Conference
    • /
    • 2000.10a
    • /
    • pp.163-168
    • /
    • 2000
  • In this paper, a 3-D computer-aided die design process was developed for automobile rear frame with drawing, trimming, flanging, cam-piercing and piercing for tool design. The tool design has been done using Pro/Engineer on a personal computer. It is composed of four stations. The goal of this research is to apply each of stations for the standard tool specification to each station.

  • PDF