• Title/Summary/Keyword: 캐시메모리

Search Result 242, Processing Time 0.024 seconds

I/O Traffic based Task Classification for Shared Last Level Cache Utilization in NUMA Systems (NUMA 시스템의 공유 LLC 활용을 위한 I/O 트래픽에 따른 태스크 분류법)

  • An, Deukhyeon;Kim, Jihong;Eom, Young Ik
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2012.04a
    • /
    • pp.199-201
    • /
    • 2012
  • 디스크나 이더넷과 같은 I/O 장치로부터 발생하는 I/O 트래픽은, 여러 개의 노드를 가진 NUMA 시스템의 공유 LLC에 캐시 오염을 일으켜 캐시 라인이 재사용되는 것을 방해한다. 이러한 태스크는 캐시를 효율적으로 이용할 수 있는 메모리 집중적인 태스크들과 따로 분리하여 다룰 필요가 있다. 본 논문에서는 이러한 캐시 오염을 발생시키는 태스크들을 해당 태스크의 I/O 트래픽을 이용하여 실시간으로 감시하고 분류하는 기법을 제안한다. 또한 대량의 I/O 트래픽을 일으키는 태스크의 특성을 알아본다. 이를 통해, NUMA 시스템 환경에서 각 노드의 공유 LLC를 보다 효율적으로 사용할 수 있는 운영체제 스케줄링 기법을 연구하기 위한 토대를 마련하였다.

An L1 Cache Prefetching Scheme using Excessively Aggressive Prefetchering and a Small Direct-mapped Filtering Cache (공격적인 선인출 및 직접 사상 필터링을 이용한 L1 캐시 선인출 기법)

  • Chon, Young-Suk
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.33 no.11
    • /
    • pp.836-852
    • /
    • 2006
  • This paper proposes an L1 cache prefetch scheme using an excessively aggressive hardware prefetcher and a hardware prefetch filter having a small direct-mapped filtering cache. A quantitative analysis method has been introduced and applied to analyze nonideal effects of aggressive cache prefetching. From those analysis results, the structure and algorithm of a prefetch filter has been derived and simulated, and the overall system performance has been measured using a cycle-by-cycle cache simulator. Experimental results show that the proposed scheme improves the overall system performance by 18% on the average over several benchmarks

Idle Cache Exploiting Techniques for Shared Bus-based Chip Multi-processors (칩 멀티 프로세서의 공유 버스를 이용한 유휴 캐시 활용 기법)

  • Kang, Seok-bin;Kim, Ju-hwan;Kwak, Jong Wook;Jhang, Seong Tae;Jhon, Chu-shik
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2009.04a
    • /
    • pp.877-880
    • /
    • 2009
  • 반도체 집적도의 향상과 제한된 프로세서 설계 능력으로 인한 칩 멀티 프로세서의 도입은 최근 수 년 동안 급속히 이루어졌으나, 다수의 프로세싱 코어를 효율적으로 사용하기 위한 기법은 부족한 실정이다. 칩 멀티 프로세서 상에서 실제 작업을 수행하지 않는 유휴 코어의 발생은 불가피하며, 이 때 코어가 소유한 자원들은 낭비될 수 밖에 없다. 기존의 연구들은 이렇게 낭비되는 자원 중에서 캐시의 효율적 관리를 위해 공유 캐시 형태로 캐시를 구성하였으나, 전체 캐시 관리에 따른 많은 오버헤드를 수반하였다. 본 논문에서는 이러한 유휴 캐시의 발생이 불가피함을 인지하고 그것을 칩 내 메모리 공간으로써 활용하여 칩 멀티 프로세서 전체의 성능을 향상시키는 기법을 제안한다. 이를 위해 ARM 코어 기반의 칩 멀티프로세서 시뮬레이터 환경을 구성하여 제안된 기법을 검증한다. 실험 결과 본 논문에서 소개된 기법은 4-코어 및 16 코어 기반 칩 멀티 프로세서 환경에서 각각 17%와 8%의 IPC 향상을 가져왔다.

Dynamic Prefetch Filtering Schemes to enhance Utilization of Data Cache (데이타 캐시의 활용도를 높이는 동적 선인출 필터링 기법)

  • Chon, Young-Suk;Kim, Suk-Il;Jeon, Joong-Nam
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.35 no.1
    • /
    • pp.30-43
    • /
    • 2008
  • Memory reference instructions such as loads or stores are critical factors that limit the processing power of processor. The prefetching technique is an effective way to reduce the latency caused from memory access. However, excessively aggressive prefetch leads to cache pollution so as to cancel out the advantage of prefetch. In this study, four filtering schemes have been compared and evaluated which dynamically decide whether to begin prefetch after referring a filtering table to decrease cache pollution. First, A bi-states scheme has been shown to analyze the lock problem of the conventional scheme, this scheme such as conventional scheme used to be N:1 mapping, but it has the two state to 1bit value of each entries. A complete state scheme has been introduced to be used as a reference for the comparative study. A block address lookup scheme has been proposed as the main idea of this paper which exhibits the most exact filtering performance. This scheme has a length of the table the same as the bi-states scheme, the contents of each entry have the fields the same as the complete state scheme recently, never referenced data block address has been 1:1 mapping a entry of the filter table. Experimental results from commonly used general benchmarks and multimedia programs show that average cache miss ratio have been decreased by 10.5% for the block address lookup scheme(BAL) compare to conventional dynamic filter scheme(2-bitSC).

T-Tree Index Structures Utilizing Prefetch Methods (프리패치 기법을 적용한 T.트리 인덱스 구조)

  • Lee, Ig-Hoon;Shim, Jun-Ho
    • The Journal of Society for e-Business Studies
    • /
    • v.14 no.4
    • /
    • pp.119-131
    • /
    • 2009
  • During a decade, e-Commerce environments supporting real-time transaction processing have been getting larger. In telecommunication and financial environments, research and building for main memory database systems have been doing to support real-time transaction processing. A research on indexing for fast transaction support focuses on reducing cache misses or reducing memory access latency when cache misses happen. In the paper, we propose a prefetch method for tree index structures to reduce memory access latency. We present a prefetch-efficient pCST-tree and show superiority of the proposed tree by experiments.

  • PDF

Performance Evaluation of Linux Page Cache on Solid-State Disk (SSD에 대한 리눅스 페이지 캐시의 성능 평가)

  • Lee, Joo-Hwan;Kim, Jung-Hyun;Kim, Hong-June;Lee, Jae-Jin;Choi, Jae-Young;Lim, Sun-Young
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2010.06b
    • /
    • pp.368-373
    • /
    • 2010
  • 플래시 메모리의 집적도가 높아지고 가격이 저렴해 짐에 따라 낸드 플래시 기반의 SSD의 사용이 확산 되고 있다. 플래시 메모리 기반 SSD는 기존의 하드디스크와 비교하여 여러 가지 장점을 가지지만 덮어 쓰기가 불가능한 특성상 쓰기 공간 확보를 위해 가비지 컬렉션이 수행되어야 하는 단점을 가진다. 이러한 단점을 개선하기 위해 다양한 연구들이 제안되었다. 이 중, 운영체제의 페이지 캐시에 대한 연구가 상반된 주장을 보이고 있는 점[11, 12, 13]에 착안하여 실험을 통해 이를 재확인하였다. 실험 결과, 큰 용량의 페이지 캐시가 SSD를 스토리지로 갖는 시스템에서 파일 입출력 성능을 크게 향상시키는 것을 확인 할 수 있었다.

  • PDF

Design of A Media Processor Equipped with Dual Cache (복수 캐시로 구성한 미디어 프로세서의 설계)

  • Moon, Hyun-Ju;Jeon, Joong-Nam;Kim, Suk-Il
    • Journal of KIISE:Computer Systems and Theory
    • /
    • v.29 no.10
    • /
    • pp.573-581
    • /
    • 2002
  • In this paper, we propose a mediaprocessor of dual-cache architecture which is composed of the multimedia data cache and the general-purpose data cache to prevent performance degradation caused by memory delay. In the proposed processor architecture, multimedia data that are written in subword instructions are loaded in the multimedia data cache and the remaining data are loaded in the general-purpose data cache. Also, Ive use multi-block prefetching scheme that fetches two consecutive data blocks into a cache at a time to exploit the locality of multimedia data. Experimental results on MPEG and JPEG benchmark programs show that the proposed processor architecture results in better performance than the processor equipped with single data cache.

Bandwidth-Effective Rendering Scheme for 3D Texture-based Volume Visualization on GPU (3차원 텍스쳐 기반 볼륨 가시화를 위한 GPU 대역폭 효과적인 렌더링 기법)

  • Lee Won-Jong;Han Tack-Don
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2005.07a
    • /
    • pp.673-675
    • /
    • 2005
  • 본 논문은 3차원 텍스쳐 기반의 볼륨 가시화를 위한 GPU 대역폭에 효과적인 렌더링 기법을 제안한다. 전처리 과정에서 옥트리를 이용하여 원본 볼륨 데이터를 계층적으로 균일한 크기로 분할하여 실제 영역만을 효과적으로 검출하게 되고, 렌더링 시에는 가시순서에 따라 옥트리를 탐색하며 리프 노드의 각 부볼륨을 텍스쳐 매핑 유닛에서 처리하고 블렌딩 유닛에서 이를 합성한다. 작은 크기($16^3$ 또는 $32^3$)의 부볼륨 처리는 텍스쳐와 픽셀 캐시의 이용율을 높이고 공백 공간 생략을 가용하게 하여 GPU의 메모리 대역폭을 크게 줄여 렌더링을 가속할 수 있다. 제안하는 기법의 캐시 효율, 메모리 트래픽, 렌더링 시간 등 다양한 실험 결과와 성능분석이 제공된다. 실험 결과는 제안하는 기 법이 전통적인 렌더링 방법에 비해 평균 11배의 대역폭 감소와 3배 빠른 렌더링을 가능하게 하여 GPU를 이용한 볼륨 렌더링에 효과적인 방법임을 보여주었다.

  • PDF

A Study of Information Collection for Computer Forensics on Digital Contents Computing Environment (디지털 콘텐츠 컴퓨팅 환경에서의 컴퓨터 포렌식스 정보 수집에 관한 연구 기술에 관한 연구)

  • Lee, Jong-Sup;Jang, Eun-Gyeom;Choi, Yong-Rak
    • Proceedings of the Korea Contents Association Conference
    • /
    • 2008.05a
    • /
    • pp.507-513
    • /
    • 2008
  • In Digital Contents Computing Environment, information such as register, cache memory, and network information are hard to make certain of a real-time collection because such information collection are easily modified or disappeared. Thus, a collection of information is one of important step for computer forensics system on Digital Contents computing. In this paper, we propose information collection module, which collects variable information of server system based on memory mapping in real-time.

  • PDF

Speed Up of OLAP Query response time By Chunk-based Caching Scheme In Shared nothing Structure (Shared nothing 구조하에서 Chunk-based Caching 전략에 의한 OLAP Query response time의 속도향상)

  • 엄준식;정병수
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 1999.10a
    • /
    • pp.135-137
    • /
    • 1999
  • 현재 의사결정 시스템을 위한 데이터 웨어하우스는 데이터베이스 분야에서 비약적인 발전을 해오고 있다. 이 분야에서 중요한 사항은 사용자의 질의에 대한 응답시간이다. 사용자로부터 질의가 요구되면 과거의 많은 데이터를 분석하여 적절한 시간 내에 분석결과를 되돌려 줄 수 있어야 하는데 데이터 웨어하우스의 특성상 대용량의 데이터를 저장하고 분석 시에 많은 데이터를 검색해야 하므로 질의 응답시간에 많은 시간이 소요된다. 이 논문에서는 Chunk based caching 기법에 의해서 새로이 요구되는 질의에 대해 이미 메모리에 캐시 되어진 내용을 이용하는 방식을 통해 디스크의 I/O 횟수를 줄임으로서 질의 응답시간을 단축시키는 기법을 소개한다. 또한 chunk miss에 대한 처리를 병렬로 수행함으로써 메모리에 캐시되지 않은 내용을 디스크로부터 로드하는 시간을 단축시키는 방법도 아울러 소개한다.

  • PDF