• 제목/요약/키워드: 분기 각도

Search Result 193, Processing Time 0.027 seconds

Dynamic Per-Branch History Length Fitting for High-Performance Processor (고성능 프로세서를 위한 분기 명령어의 동적 History 길이 조절 기법)

  • Kwak, Jong-Wook;Jhang, Seong-Tae;Jhon, Chu-Shik
    • Journal of the Institute of Electronics Engineers of Korea CI
    • /
    • v.44 no.2 s.314
    • /
    • pp.1-10
    • /
    • 2007
  • Branch prediction accuracy is critical for the overall system performance. Branch miss-prediction penalty is the one of the significant performance limiters for improving processor performance, as the pipeline deepens and the instruction issued per cycle increases. In this paper, we propose "Dynamic Per-Branch History Length Fitting Method" by tracking the data dependencies among the register writing instructions. The proposed solution first identifies the key branches, and then it selectively uses the histories of the key branches. To support this mechanism, we provide a history length adjustment algorithm and a required hardware module. As the result of simulation, the proposed mechanism outperforms the previous fixed static method, up to 5.96% in prediction accuracy. Furthermore, our method introduces the performance improvement, compared to the profiled results which are generally considered as the optimal ones.

Calculation of Magnetic Fields under 3 Phase Power Lines with Branch Lines (분기선로가 있는 3상 전력선로하의 전자파 자계 계산)

  • Kang, Dae-Ho;Lee, Yong-Sik;Kim, Bu-Gyu
    • Journal of the Korean Institute of Illuminating and Electrical Installation Engineers
    • /
    • v.23 no.5
    • /
    • pp.110-119
    • /
    • 2009
  • In this study magnetic fields near electric power lines with branch lines which have a arbitrary angle were derived and formulated by dipole antenna theory and could be calculated easily using the formula. It seems that those formula could be applicable to the consideration of magnetic fields during the design of distribution lines with branch lines. As an example those formulated equations on elements of magnetic fields were applied to a model of 3 phase distribution lines with branch lines and calculated by Matlab programs and the results were presented The analyzed results are follows. The resultant magnetic field is dominated by the componant By all over y-axis in the case of the smaller branched angle $\alpha$ and the lower observed point z. In case of ${\alpha}=\frac{\pi}{2}$[rad], the resultant field is affected by the componant Bx. The resultant field is dominated by the componant Bz at the vicinity of the power lines and it shows very large value at the branch line position of y-axis in case of ${\alpha}>\frac{\pi}{2}$.

Dynamic Brittle Fracture Captured with Peridynamics: Crack Branching Angle & Crack Propagation Speed (페리다이나믹스 해석법을 통한 동적취성 파괴거동해석: 분기 균열각도와 균열 전파속도)

  • Ha, Youn-Doh;Cho, Seon-Ho
    • Journal of the Computational Structural Engineering Institute of Korea
    • /
    • v.24 no.6
    • /
    • pp.637-643
    • /
    • 2011
  • The bond-based peridynamic model is able to capture many of the essential characteristics of dynamic brittle fracture observed in experiments: crack branching, crack-path instability, asymmetries of crack paths, successive branching, secondary cracking at right angles from existing crack surfaces, etc. In this paper we investigate the influence of the stress waves on the crack branching angle and the velocity profile. We observe that crack branching in peridynamics evolves as the phenomenology proposed by the experimental evidence: when a crack reaches a critical stage(macroscopically identified by its stress intensity factor) it splits into two or more branches, each propagating with the same speed as the parent crack, but with a much reduced process zone.

A Novel Approach to Improve Branch Prediction Accuracy by Neural Network Information (신경망을 이용한 분기 예측의 개선)

  • Kwak, Jong Wook;Kim, Ju-Hwan;Jhon, Chu Shik
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2004.05a
    • /
    • pp.1651-1654
    • /
    • 2004
  • 파이프라인과 슈퍼스칼라 방식이 일반화된 시스템 구조 하에서, 분기 명령어는 시스템 전체적인 성능에 중요한 영향을 미친다. 특히 분기 예측이 실패했을 경우, 잘못된 분기 예측으로 인한 페널티가 발생한다는 점에서 분기 예측의 정확도에 대한 중요성은 크다고 할 수 있다. 본 논문에서는 분기 예측의 정확도를 높이기 위해서, 분기 예측과 관련된 신경망을 구축하여 이를 통해 분기 예측에 필요한 각 요소별 가중치의 변화를 분석하고, 이를 분기 예측에 새롭게 반영하고자 한다. 본 논문에서는 이를 위해 실행 구동 방식의 시뮬레이터인 SimpleScalar를 통하여 모의 실험을 수행하였으며, 실험 결과 본 논문에서 제시한 새로운 기법이 기존의 일반적인 이단계 적응형 분기 예측 기법이나 gshare 기법에 비하여 더 우수한 결과를 보였다.

  • PDF

Dual-mode Hybrid Powertrain (듀얼 모드 하이브리드 동력전달계)

  • Yang, Ho-Rim;Kim, Nam-Wook;Ahn, Kuk-Hyun;Cho, Sung-Tae;Im, Won-Sik;Lee, Jang-Moo
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2006.06a
    • /
    • pp.543-546
    • /
    • 2006
  • 최근 여러 연구에서 다양한 종류의 멀티 모드 하이브리드 동력 전달계가 제안되고 있다. 멀티모드 동력전달계는 두 개 이상의 다른 유성기어식 하이브리드 시스템으로 이루어져 있으며 클러치를 사용하여 각 상황에 유리한 유성기어 시스템을 사용하여 주행한다. 각 유성기어 시스템의 단점들을 보완할 수 있기 때문에 단일 모드를 사용하여 주행했을 때보다 여러 면에서 높은 성능을 보인다. 일반적으로 유성기어식 하이브리드 시스템은 크게 입력, 출력, 복합 분기식의 세 가지 종류로 나눌 수 있는데 이 논문에서는 입력 및 복합 분기식 구조의 특징을 분석해 보았다. 또한 시뮬레이션을 통해 입력, 복합 분기식 구조를 사용하여 듀얼 모드를 구성하였을 때 단일 모드와 비교하여 어느정도의 성능을 보이는지 알아보았다.

  • PDF

Stability analysis of an existing utility tunnel due to the excavation of a divergence tunnel emerging from double-deck tunnel (복층터널의 분기터널 굴착에 따른 지하 공동구의 안정성 분석)

  • Nam, Kyoung-Min;Choi, Min-ki;Kim, Jung-Joo;Jafri, Turab H.;Yoo, Han-Kyu
    • Journal of Korean Tunnelling and Underground Space Association
    • /
    • v.19 no.2
    • /
    • pp.231-248
    • /
    • 2017
  • Government plans to construct a double-deck tunnel under a portion of Gyeongbu Expressway that will solve traffic problems and could also be used as a flood storage facility. Divergence tunnels connect the main tunnel to the urban areas and their construction effects on adjacent structures at shallow depth need to be analyzed. This study primarily includes the numerical analysis of construction effects of divergence tunnels on utility tunnels. The utility tunnel was analyzed for three cases of volume loss applied to the divergence tunnel and two cases of the angle between main tunnel and divergence tunnel ($36^{\circ}$ and $45^{\circ}$). The results show that the more the volume loss was applied and the shorter the distance was between utility tunnel and divergence tunnel, the more the utility tunnel was affected in terms of induced displacements, angular displacement and stability. The worst scenario was found out to be the one where the angle between main tunnel and divergence tunnel was $36^{\circ}$ and the distance between divergence tunnel and utility tunnel was 10 m, resulting in the largest displacement and differential settlement at the bottom of the utility tunnel. A relationship between the angular displacement and the distance to diameter ratio was also established.

On the Use of a Parallel-Branch Subunit Mod디 in Continuous HMM for improved Word Recognition (연속분포 HMM에서 평행분기 음성단위를 사용한 단어인식율 향상연구)

  • Park, Yong-Kyuo;Un, Chong-Kwan
    • The Journal of the Acoustical Society of Korea
    • /
    • v.14 no.2E
    • /
    • pp.25-32
    • /
    • 1995
  • In this paper, we propose to use a parallel-branch subunit model for improved word recognition. The model is obtained by splitting off each subunit branch based on mixture component in continuous hidden Markov model(continuous HMM). According to simulation results, the proposed model yields higher recognition rate than the single-branch subunit model or the parallel-branch subunit model proposed by Rabiner et al[1]. We show that a proper combination of the number of mixture components and the number of branches for each subunit results in increased recognition rate. To study the recognition performance of the proposed algorithms, the speech material used in this work was a vocabulary with 1036 Korean words.

  • PDF

Incremental Deployment of IP Multicast in the Internet (인터넷에서 멀티캐스트의 점진적 적용 방안)

  • 홍형섭;하정락;현은희;김상하
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2001.10c
    • /
    • pp.364-366
    • /
    • 2001
  • 현재 제안되고 있는 멀티캐스트 메커니즘은 각 라우터에서 멀티캐스트 라우팅을 위만 상태 정의를 바탕으로 데이터를 전송하게 된다. 따라서, 각 라우터는 상태정보를 유지해야 하므로 확장성 문제가 발생하게 된다. 이 문제의 해결을 위하여 제안된 메커니즘으로 Explicit Multicast (Xcast)[1]가 있다. 하지만 Xcast의 경우 각 경로상의 라우터는 반드시 Xcast를 탑재해야 하는 제약을 가지고 있다. 이러만 제약은 현재의 망에 구현 관점에서는 큰 문제가 된다. 따라서 본 논문은 상태 정보가 없이 멀티캐스트를 점진적으로 적응할 수 있는 Multicast based on Virtual Topology (MVT)즉 제안한다. MVT는 멀티캐스트 데이터가 분기되는 라우터를 분기라우터로 지정하고 분기라우터간의 가상 토폴로지 정보를 헤더에 삽입함으로써 멀티캐스트 서비스를 제공하는 메커니즘이다.

  • PDF

Effective Comparison of Indirect Branch Addresses for Checking Control-flow Integrity (제어 흐름 무결성 검증을 위한 간접 분기 주소의 효과적인 비교)

  • Kim, Tae-Hwan;Seong, In-Hyeong;Han, Kyung-Sook;Pyo, Chang-Woo
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2012.06a
    • /
    • pp.86-88
    • /
    • 2012
  • 코드 포인터 공격을 이용한 제어 흐름은 실행 중에 간접 분기의 무결성을 검사하는 것으로 보호할 수 있다. 간접 분기는 반복되는 목적지 주소로의 분기인 경우가 많지만, 목적지 주소는 실행 시간에만 알 수 있기 때문에 여러 가지 예외적인 상황을 적절히 대처할 방법이 필요하다. 본 논문에서는 동적 이진 계측화를 활용하여 실행 중 발생하는 간접 분기를 분석하고, 수집한 주소들을 빠르게 비교하는데 효과적인 주소 비교 기법들을 제시한다. 또한 각 기법을 비교하여 프로그램에 따라 주소 비교의 효율성을 극대화하는 방안을 모색하였다. 이러한 기법을 통해 간접 분기가 야기하는 여러 문제점에서부터 보안 분야에 이르기 까지 활용 범위를 넓힐 수 있을 것으로 기대한다.

Analysis on the Thermal Efficiency of Branch Prediction Techniques in 3D Multicore Processors (3차원 구조 멀티코어 프로세서의 분기 예측 기법에 관한 온도 효율성 분석)

  • Ahn, Jin-Woo;Choi, Hong-Jun;Kim, Jong-Myon;Kim, Cheol-Hong
    • The KIPS Transactions:PartA
    • /
    • v.19A no.2
    • /
    • pp.77-84
    • /
    • 2012
  • Speculative execution for improving instruction-level parallelism is widely used in high-performance processors. In the speculative execution technique, the most important factor is the accuracy of branch predictor. Unfortunately, complex branch predictors for improving the accuracy can cause serious thermal problems in 3D multicore processors. Thermal problems have negative impact on the processor performance. This paper analyzes two methods to solve the thermal problems in the branch predictor of 3D multi-core processors. First method is dynamic thermal management which turns off the execution of the branch predictor when the temperature of the branch predictor exceeds the threshold. Second method is thermal-aware branch predictor placement policy by considering each layer's temperature in 3D multi-core processors. According to our evaluation, the branch predictor placement policy shows that average temperature is $87.69^{\circ}C$, and average maximum temperature gradient is $11.17^{\circ}C$. And, dynamic thermal management shows that average temperature is $89.64^{\circ}C$ and average maximum temperature gradient is $17.62^{\circ}C$. Proposed branch predictor placement policy has superior thermal efficiency than the dynamic thermal management. In the perspective of performance, the proposed branch predictor placement policy degrades the performance by 3.61%, while the dynamic thermal management degrades the performance by 27.66%.