• Title/Summary/Keyword: 그래픽 프로세서

Search Result 133, Processing Time 0.035 seconds

Analysis on the Temperature and Power Efficiency of Graphic Processors according to Cooling Effects (냉각에 따른 그래픽 프로세서의 온도 및 소비 전력 분석)

  • Son, DongOh;Joo, SeYoon;Jeon, HyungGue;Kim, CheolHong
    • Proceedings of the Korean Society of Computer Information Conference
    • /
    • 2012.07a
    • /
    • pp.9-11
    • /
    • 2012
  • 프로세서 설계 기술의 발달로 인해 그래픽 프로세서 또한 기술적으로 크게 발전하였다. 그래픽 프로세서는 단순한 그래픽 표현장치에서 대용량의 데이터를 병렬로 처리하는 고성능 장치로 변화하고 있다. 뿐만 아니라 그래픽 프로세서는 대용량의 데이터처리가 가능한 병렬 프로세서로 특화되어 있기 때문에 이를 활용하여 CPU의 작업을 보조하며 빠른 연산 수행을 가능하게 한다. 이로 인해, 최신의 고성능 시스템 설계에서 그래픽 프로세서는 매우 중요한 역할을 한다. 그래픽 프로세서를 활용하는 고성능의 시스템을 설계하기 위해서는 발열과 소비 전력을 고려해야 한다. 본 논문에서는 그래픽 프로세서의 온도를 제어하는 냉각팬의 세기를 조절하여 그에 따른 온도와 소비 전력을 분석한다. 실험 결과 냉각팬 세기가 낮은 경우 그래픽 프로세서의 온도는 $100^{\circ}C$까지 급격히 상승한다. 냉각팬 세기가 높은 경우 그래픽 프로세서의 온도는 천천히 증가하여 일정 온도에 수렴함을 알 수 있다. 또한, 그래픽 프로세서의 소비 전력은 작업량을 할당하지 않았을 때보다 최대작업량을 할당하였을 때 냉각팬 세기에 따른 소비전력 차이가 큼을 알 수 있다.

  • PDF

Acceleration for Removing Sea-fog using Graphic Processors and Parallel Processing (그래픽 프로세서를 이용한 병렬연산 기반 해무 제거 고속화)

  • Kim, Young-doo;Kwak, Jae-min;Seo, Young-ho;Choi, Hyun-jun
    • Journal of Advanced Navigation Technology
    • /
    • v.21 no.5
    • /
    • pp.485-490
    • /
    • 2017
  • In this paper, we propose a technique for high speed removal of sea-fog using a graphic processor. This technique uses a host processor(CPU) and several graphics processors(GPU) capable of parallel processing to remove sea-fog from the input image. In the process of removing sea-fog, the dark channel extraction, the maximum brightness channel extraction, and the calculation of the transmission are performed by the host processor, and the process of refining the transmission by applying the bidirectional filter is performed in parallel through the graphic processor. To verify the proposed parallel processing method, three NVIDIA GTX 1070 GPUs were used to construct the verification environment. As a result, it takes about 140ms when implemented with one graphics processor, and 26ms when implemented using OpenMP and multiple GPGPUs. The proposed a parallel processing algorithm based on the graphics processor unit can be used for safe navigation, port control and monitoring system.

A Fully Programmable Shader Processor for Low Power Mobile Devices (저전력 모바일 장치를 위한 완전 프로그램 가능형 쉐이더 프로세서)

  • Jeong, Hyung-Ki;Lee, Joo-Sock;Park, Tae-Ryong;Lee, Kwang-Yeob
    • Journal of IKEEE
    • /
    • v.13 no.2
    • /
    • pp.253-259
    • /
    • 2009
  • In this paper, we propose a novel architecture of a general graphics shader processor without a dedicated hardware. Recently, mobile devices require the high performance graphics processor as well as the small size, low power. The proposed shader processor is a GP-GPU(General-Purpose computing on Graphics Processing Units) to execute the whole OpenGL ES 2.0 graphics pipeline by using shader instructions. It does not require the separate dedicate H/W such as rasterization on this fully programmable capability. The fully programmable 3D graphics shader processor can reduce much of the graphics hardware. The chip size of the designed shader processor is reduced 60% less than the sizes of previous processors.

  • PDF

Time-domain 3D Wave Propagation Modeling and Memory Management Using Graphics Processing Units (그래픽 프로세서를 이용한 시간 영역 3차원 파동 전파 모델링과 메모리 관리)

  • Kim, Ahreum;Ryu, Donghyun;Ha, Wansoo
    • Geophysics and Geophysical Exploration
    • /
    • v.19 no.3
    • /
    • pp.145-152
    • /
    • 2016
  • We used graphics processing units for an efficient time-domain 3D wave propagation modeling. Since graphics processing units are designed for massively parallel processes, we need to optimize the calculation and memory management to fully exploit graphics processing units. We focused on the memory management and examined the performance of programs with respect to the memory management methods. We also tested the effects of memory transfer on the performance of the program by varying the order of finite difference equation and the size of velocity models. The results show that the memory transfer takes a larger portion of the running time than that of the finite difference calculation in programs transferring whole 3D wavefield.

Design of a Graphic Processor for Multimedia Data Processing (멀티미디어 데이타 처리를 위한 그래픽 프로세서 설계)

  • 고익상;한우종;선우명동
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.36C no.10
    • /
    • pp.56-65
    • /
    • 1999
  • This paper presents an architecture and its instruction set for a graphic coprocessor(GCP) which can be used for a multimedia server. The proposed instruction set employs parallel architecture concepts, such as SIMD and Superscalar. GCP consists of a scheduler and four functional units. The scheduler solves an instruction bottleneck problem causing by sharing with four general processors(GPs). GCP can execute up to 4 instructions in parallel. It consists of about 56,000 gates and operates at 30 MHz clock frequency due to speed limitation of SOG technology. GCP meets the real-time DCT algorithm requirement of the CIF image format and can process up to 63 frames/sec for the DCT Algorithm and 21 frames/sec for the Full Block matching Algorithm of the CIF image format.

  • PDF

OpenGL ES Compiler Implementation for Embedded Graphic Processor (임베디드 그래픽 프로세서를 위한 OpenGL ES 컴파일러 개발)

  • Im, Soo-Jun;Song, Jun-Sup;Shin, Dong-Kun
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2012.06a
    • /
    • pp.167-169
    • /
    • 2012
  • 오늘날 휴대용 기기에서의 그래픽 처리 요구사항이 증가함에 따라 저전력, 저비용 그래픽 프로세서의 필요성이 대두되고 있다. 이에 따라 크로노스 그룹은 휴대기기를 위한 그래픽 API 표준인 OpenGL ES 2.0을 발표하였다. 본 논문에서는 OpenGL ES 2.0을 상정하여 구성된 그래픽 프로세서를 위한 쉐이더 컴파일러를 개발하고 최적화하는 연구를 수행하였다. 개발된 컴파일러는 OpenGL ESSL로 작성된 쉐이더 프로그램을 정상적으로 컴파일하고 동작시켰으며 타겟 GPU에 적합한 최적화 기법을 적용하여 쉐이더 프로그램의 크기를 최대 10%가량 절감하고 성능을 10~15%가량 향상시켰다.

A Design of Floating-Point Geometry Processor for Embedded 3D Graphics Acceleration (내장형 3D 그래픽 가속을 위한 부동소수점 Geometry 프로세서 설계)

  • Nam Ki hun;Ha Jin Seok;Kwak Jae Chang;Lee Kwang Youb
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.43 no.2 s.344
    • /
    • pp.24-33
    • /
    • 2006
  • The effective geometry processing IP architecture for mobile SoC that has real time 3D graphics acceleration performance in mobile information system is proposed. Base on the proposed IP architecture, we design the floating point arithmetic unit needed in geometry process and the floating point geometry processor supporting the 3D graphic international standard OpenGL-ES. The geometry processor is implemented by 160k gate area in a Xilinx-Vertex FPGA and we measure the performance of geometry processor using the actual 3D graphic data at 80MHz frequency environment The experiment result shows 1.5M polygons/sec processing performance. The power consumption is measured to 83.6mW at Hynix 0.25um CMOS@50MHz.

A Study on Design for Heterogeneous MPSoC (이종 MPSoC 설계에 관한 연구)

  • Lee, Sang-Chol;Lee, Sung-Jae;Cha, Young-Ho;Kim, Kwan-Young
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2010.04a
    • /
    • pp.82-85
    • /
    • 2010
  • MPSoC 는 하나의 칩 안에 여러 개의 프로세서와 이를 뒷받침 하는 다수/다량의 메모리 시스템, 인터페이스, 그리고 그 밖의 여러 IP 등을 탑재하는 기술을 말하며, 본 논문에서는 이종의 EISC 프로세서, DSP 프로세서와 2D 벡터 그래픽 가속기를 이용하여 4 개의 프로세서로 구성된 이종 MPSoC를 설계하였다. 설계한 이종 MPSoC 는 Global Foundies 0.13um MPW 공정으로 구현하였으며, 테스트 보드 상에서 2D 벡터 그래픽 가속기와 DSP 프로세서를 이용한 이미지 처리 및 오디오 재생을 통하여 동작을 검증하였다.

Porting Mobile 3D Engine to VRender3D Processor (VRender3D 프로세서를 위한 Mobile 3D Engine 포팅)

  • Jung, Il-Dong;Fedorov, Alexander O.;Kim, Yong-Tae;Lee, Koon-Seok
    • Proceedings of the Korean Information Science Society Conference
    • /
    • 2008.06b
    • /
    • pp.384-387
    • /
    • 2008
  • PDA와 같은 이동단말에서 화려한 3D 그래픽을 보여주는 것은 그래픽 시스템 리소스의 제약이 있다. Mobile 3D 엔진은 모델의 움직임을 계산하여 동적으로 3D 그래픽을 만들어 내기 때문에 그래픽 시스템 뿐만 아니라, 충분한 성능의 프로세서와 여유의 메모리까지 지원되어야 한다. 본 논문에서는 Mobile 3D 엔진의 제약 사항과 그 해결 방법을 제시하였다. ARM9 Core를 기반으로 3D 가속 기능을 가진 VRender3D에 실제로 OpenGL/ES를 기반으로 하는 Mobile 3D 엔진을 포팅 (porting) 하고, 그 성능을 동적인 3D 영상으로 평가하였다.

  • PDF

Control Unit Design and Implementation for SIMD Programmable Unified Shader (SIMD 프로그래머블 통합 셰이더를 위한 제어 유닛 설계 및 구현)

  • Kim, Kyeong-Seob;Lee, Yun-Sub;Yu, Byung-Cheol;Jung, Jin-Ha;Choi, Sang-Bang
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.48 no.7
    • /
    • pp.37-47
    • /
    • 2011
  • Real picture like high quality computer graphic is widely used in various fields and shader processor, a key part of a graphic processor, has been advanced to programmable unified shader. However, The existing graphic processors have been optimized to commercial algorithms, so development of an algorithm which is not based on it requires an independent shader processor. In this paper, we have designed and implemented a control unit to support high quality 3 dimensional computer graphic image on programmable integrated shader processor. We have done evaluation through functional level simulation of designed control unit. Hardware resource usage rate are measured by implementing directly on FPGA Virtex-4 and execution speed are verified by applying ASIC library. the result of an evaluation shows that the control unit has the commands more about 1.5 times compared to the other shader processors that is a behavior similar to the control unit and with a number of processing units used in a shader processor, compared with the other processors, overall performance of the control unit is improved about 3.1 GFLOPS.