• Title/Summary/Keyword: $N_2O$ plasma

Search Result 545, Processing Time 0.039 seconds

Preparation and properties of BaO-ZnO-$B_2O_3$-$V_2O_5$-$SiO_2$ Glass for PDP paste (PDP용 BaO-ZnO-$B_2O_3$-$V_2O_5$-$SiO_2$계 glass past의 제조와 특성)

  • Son, Myung-Mo;Lee, Heon-Soo;Lee, Chang-Hee;Lee, Sang-Geun;Park, Hee-Chan
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2004.07b
    • /
    • pp.1096-1099
    • /
    • 2004
  • The principal problems in development of dielectric paste materials for PDP(plasma display panel)are PbO free paste and low melting temperature. We prepared PbO free paste from glasses in the system BaO-ZnO-$B_2O_3$-$V_2O_5$. DTA, and XRD were used to characterize BaO-ZnO-$B_2O_3$-$V_2O_5$ glasses. In this present study, PbO free paste had thermal expansion of $74\times10^{-7}/^{\circ}C$, DTA softening point of $460^{\circ}C$, and firing condition of $520^{\circ}C$, 20min

  • PDF

Effects of Gate Insulators on the Operation of ZnO-SnO2 Thin Film Transistors (ZnO-SnO2 투명박막트랜지스터의 동작에 미치는 게이트 절연층의 영향)

  • Cheon, Young Deok;Park, Ki Cheol;Ma, Tae Young
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.26 no.3
    • /
    • pp.177-182
    • /
    • 2013
  • Transparent thin film transistors (TTFT) were fabricated on $N^+$ Si wafers. $SiO_2$, $Si_3N_4/SiO_2$ and $Al_2O_3/SiO_2$ grown on the wafers were used as gate insulators. The rf magnetron sputtered zinc tin oxide (ZTO) films were adopted as active layers. $N^+$ Si wafers were wet-oxidized to grow $SiO_2$. $Si_3N_4$ and $Al_2O_3$ films were deposited on the $SiO_2$ by plasma enhanced chemical vapor deposition (PECVD) and atomic layer deposition (ALD), respectively. The mobility, $I_{on}/I_{off}$ and subthreshold swing (SS) were obtained from the transfer characteristics of TTFTs. The properties of gate insulators were analyzed by comparing the characteristics of TTFTs. The property variation of the ZTO TTFTs with time were observed.

Thermal Stability and Electrical Properties of $HfO_xN_y$ ($HfO_2$) Gate Dielectrics with TaN Gate Electrode (TaN 게이트 전극을 가진 $HfO_xN_y$ ($HfO_2$) 게이트 산화막의 열적 안정성)

  • Kim, Jeon-Ho;Choi, Kyu-Jeong;Yoon, Soon-Gil;Lee, Won-Jae;Kim, Jin-Dong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2003.07a
    • /
    • pp.54-57
    • /
    • 2003
  • [ $HfO_xN_y$ ] films using a hafnium tertiary-butoxide $(Hf[OC(CH_3)_3]_4)$ in plasma and $N_2$ ambient were prepared to improve the thermal stability of hafnium-based gate dielectrics. A 10% nitrogen incorporation into $HfO_2$ films showed a smooth surface morphology and a crystallization temperature as high as $200^{\circ}C$ compared with pure $HfO_2$ films. The $TaN/HfO_xN_y/Si$ capacitors showed a stable capacitance-voltage characteristics even at post-metal annealing temperature of $1000^{\circ}C$ in $N_2$ ambient and a constant value of 1.6 nm EOT (equivalent oxide thickness) irrespective of an increase of PDA and PMA temperature. Leakage current densities of $HfO_xN_y$ capacitors annealed at PDA temperature of 800 and $900^{\circ}C$, respectively were approximately one order of magnitude lower than that of $HfO_2$ capacitors.

  • PDF

Optical Properties and Structural Analysis of SiO2 Thick Films Deposited by Plasma Enhanced Chemical Vapor Deposition (PECVD법에 의해 증착된 SiO2 후막의 광학적 성질 및 구조적 분석)

  • Cho, Sung-Min;Kim, Yong-Tak;Seo, Yong-Gon;Yoon, Hyung-Do;Im, Young-Min;Yoon, Dae-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.39 no.5
    • /
    • pp.479-483
    • /
    • 2002
  • Silicon dioxide thick film using silica optical waveguide cladding was fabricated by Plasma Enhanced Chemical Vapor Deposition(PECVD) method, at a low temperature ($320^{\circ}$C) and from $(SiH_4+N_2O)$ gas mixtures. The effects of deposition parameters on properties of $SiO_2$ thick films were investigated by variation of $N_2O/SiH_4$ flow ratio and RF power. After the deposition process, the samples were annealed in a furnace at $1150^{\circ}$C, in N2 atmosphere, for 2h. As the $N_2O/SiH_4$ flow ratio increased, deposition rate decreased from 9.4 to 2.9 ${\mu}m/h$. As the RF power increased, deposition rate increased from 4.7 to 6.9 ${\mu}m/h$. The thickness and the refractive index measurements were measured by prism coupler. X-ray Photoelectron Spectroscopy(XPS) and Fourier Transform-infrared Spectroscopy(FT-IR) were used to determine the chemical states. The cross-section of films was observed by Scanning Electron Microscopy(SEM).

Study on CO2/N2 Mixture Gas Permeation Behavior through Polyethersulfone Membrane Treated by Plasma (플라즈마 처리된 폴리이써설폰 막의 CO2/N2 혼합가스의 투과거동에 대한 연구)

  • Park, Hee-Jin;Noh, Sang-Ho;Bae, Seong-Youl;Moon, Sei-Ki
    • Korean Chemical Engineering Research
    • /
    • v.40 no.6
    • /
    • pp.687-693
    • /
    • 2002
  • The surface of polyethersulfone(PES) membrane treated by Ar, $NH_3$ plasma, and the effects were observed before and after the treatment. The membrane treated by Ar plasma was increased the O/C ratio and measured the hydrophilic group, and the one by $NH_3$ plasma was attached the amine group and the amino group. In addition, with the wettability of polyethersulfone membrane $CO_2$ and the polar functional groups of surface interacted increasingly. Thus by comparable increase of the soluble selectivity $CO_2$ to $N_2$ both the permeability and the selectivity of $CO_2$ was improved. The optimum condition for the $CO_2$ permeation and actual separation factor of the plasma treated membrane was as follows; the measurement of Ar-10 W-2 min plasma treatment was $13.19{\times}10^{-10}cm^3(STP)cm/cm^2{\cdot}s{\cdot}cmHg$ and 20.12, and the measurement of $NH_3$-50 W-2 min plasma treatment was $15.40{\times}10^{-10}cm^3(STP)cm/cm^2{\cdot}s{\cdot}cmHg$ and 20.06.

Decomposition of Acetonitrile by Planar Type Dielectric Barrier Discharge Reactor (평판형 유전체 장벽 방전 반응기에서 Acetonitrile의 분해 특성)

  • 송영훈;김관태;류삼곤;이해완
    • Journal of the Korea Institute of Military Science and Technology
    • /
    • v.5 no.3
    • /
    • pp.105-112
    • /
    • 2002
  • A combined process of non-thermal plasma and catalytic techniques has been investigated to treat toxic gas compounds in air. The treated gas in the present study is $CH_3$CN that has been known to be a simulant of toxic chemical agent. A planar type dielectric barrier discharge(DBD) reactor has been used to generate non-thermal plasma that produces various chemically active species, O, N, OH, $O_3$, ion, electrons, etc. Several different types of adsorbents and catalysts, which are MS 5A, MS 13X, Pt/alumina, are packed into the plasma reactor, and have been tested to save power consumption and to treat by-products. Various aspects of the present techniques, which are decomposition efficiencies along with the power consumption, by-product analysis, reaction pathways modified by the adsorbents and catalysts, have been discussed in the present study.

Frequency effect of TEOS oxide layer in dual-frequency capacitively coupled CH2F2/C4F8/O2/Ar plasma

  • Lee, J.H.;Kwon, B.S.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.284-284
    • /
    • 2011
  • Recently, the increasing degree of device integration in the fabrication of Si semiconductor devices, etching processes of nano-scale materials and high aspect-ratio (HAR) structures become more important. Due to this reason, etch selectivity control during etching of HAR contact holes and trenches is very important. In this study, The etch selectivity and etch rate of TEOS oxide layer using ACL (amorphous carbon layer) mask are investigated various process parameters in CH2F2/C4F8/O2/Ar plasma during etching TEOS oxide layer using ArF/BARC/SiOx/ACL multilevel resist (MLR) structures. The deformation and etch characteristics of TEOS oxide layer using ACL hard mask was investigated in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher by different fHF/ fLF combinations by varying the CH2F2/ C4F8 gas flow ratio plasmas. The etch characteristics were measured by on scanning electron microscopy (SEM) And X-ray photoelectron spectroscopy (XPS) analyses and Fourier transform infrared spectroscopy (FT-IR). A process window for very high selective etching of TEOS oxide using ACL mask could be determined by controlling the process parameters and in turn degree of polymerization. Mechanisms for high etch selectivity will discussed in detail.

  • PDF

태양전지 적용을 위한 실리콘 표면 passivation 방법과 그 특성 분석에 대한 연구

  • Kim, Bong-Gi;Gong, Dae-Yeong;Park, Seung-Man;Lee, Jun-Sin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.154-154
    • /
    • 2010
  • 표면 passivation 효과향상 기술은 고효율의 결정질 실리콘 태양 전지를 제작하는데 필수적 요소이다. passivation을 통해서 전자와 전공의 재결합 속도를 낮출 수 있어 $V_{oc}$가 상승하고, 전류 값 증가를 통하여 효율 향상의 결과를 얻을 수 있기 때문이다. passivation을 위해서 다양한 각도로 접근하였다. 첫째는 $SiN_x$를 이용한 passivation효과 실험 둘째는 plasma 분위기에서 $N_2O$를 이용한 passivation효과 실험 그리고 마지막으로 RTO를 이용한 passivation 효과를 실험하였다. 첫 번째 실험은 PECVD를 이용하여 $SiN_x$를 증착한 후 굴절률 1.9 2.66으로 가변 한 결과 $SiN_x$ n=2.66에서 $D_{it}=8.82{\times}10^9$ [$cm^{-2}eV^{-1}$]로 우수한 passivation 효과를 얻을 수 있었다. 두 번째 실험에서는 PECVD를 이용해서 $N_2O$ treatment 후 SiON 증착한 샘플을 이용하여 시간 가변에 따른 passivation 효과를 확인하였다. 그 결과 $N_2O$ 50sccm, 100mTorr, 20W, $400^{\circ}C$ 8min 조건에서 가장 우수한 passivation 효과를 관찰할 수 있었다. 마지막 실험은 RTP를 이용하여 $SiO_2$ 박막에 대한 온도, 시간에 따른 passivation효과를 확인하였다. 그 결과 $O_2$ 3L/min $800^{\circ}C$ 2~3nm 3min 공정에서 lifetime이 220us(n형)의 결과를 얻을 수 있었다. 상기 세 실험결과를 태양전지제작에 응용한다면 고효율의 태양전지 제작이 가능할 것으로 사료된다.

  • PDF

플라즈마 성장기술과 반도체소자에의 응용

  • 민남기;김승배
    • 전기의세계
    • /
    • v.32 no.10
    • /
    • pp.616-625
    • /
    • 1983
  • 본고에서는 PECVD의 기본원리와 장치를 간단히 설명한 후, 현재까지 PECVD법에 의해 형성된 박막중 반도체소자에의 적용을 위해 광범위하게 연구개발이 진행되고 있는 plasma silicon nitride (이하 PD SiN)와 plasma silicon dioxide (or oxide) (이하 PD SiO$_{2}$)막의 특성에 대해 현재까지의 연구결과를 중심으로 PECVD막의 성질을 고찰하고, 반도체 소자에의 응용을 검토한다.

  • PDF

Reduction of Leakage Current and Enhancement of Dielectric Properties of Rutile-TiO2 Film Deposited by Plasma-Enhanced Atomic Lay er Deposition

  • Su Min Eun;Ji Hyeon Hwang;Byung Joon Choi
    • Korean Journal of Materials Research
    • /
    • v.34 no.6
    • /
    • pp.283-290
    • /
    • 2024
  • The aggressive scaling of dynamic random-access memory capacitors has increased the need to maintain high capacitance despite the limited physical thickness of electrodes and dielectrics. This makes it essential to use high-k dielectric materials. TiO2 has a large dielectric constant, ranging from 30~75 in the anatase phase to 90~170 in rutile phase. However, it has significant leakage current due to low energy barriers for electron conduction, which is a critical drawback. Suppressing the leakage current while scaling to achieve an equivalent oxide thickness (EOT) below 0.5 nm is necessary to control the influence of interlayers on capacitor performance. For this, Pt and Ru, with their high work function, can be used instead of a conventional TiN substrate to increase the Schottky barrier height. Additionally, forming rutile-TiO2 on RuO2 with excellent lattice compatibility by epitaxial growth can minimize leakage current. Furthermore, plasma-enhanced atomic layer deposition (PEALD) can be used to deposit a uniform thin film with high density and low defects at low temperatures, to reduce the impact of interfacial reactions on electrical properties at high temperatures. In this study, TiO2 was deposited using PEALD, using substrates of Pt and Ru treated with rapid thermal annealing at 500 and 600 ℃, to compare structural, chemical, and electrical characteristics with reference to a TiN substrate. As a result, leakage current was suppressed to around 10-6 A/cm2 at 1 V, and an EOT at the 0.5 nm level was achieved.