DOI QR코드

DOI QR Code

Design Aspects and Parasitic Effects on Complementary FETs (CFETs) for 3nm Standard Cells and Beyond

3 나노미터와 미래공정을 위한 상호보완 FET 표준셀의 설계와 기생성분에 관한 연구

  • Song, Taigon (School of Electronics Engineering, Kyungpook National University)
  • Received : 2020.08.28
  • Accepted : 2020.09.28
  • Published : 2020.09.30

Abstract

Developing standard cells for 3nm and beyond requires significant advances in the device and interconnect technology. Thus, it is very important to quantify the impact of the new technology in various aspects. In this paper, we perform a through analysis on the impact of Buried Power Rail (BPR) and Complementary FET (CFET) in the perspective of cell area and parasitics such as capacitance. We emphasize that CFET is a technology that realizes 4T and beyond for standard cell designs, but significant capacitance increases (+18.0%), compared to its counterpart technology (FinFET) cell, due to the increase of cell height in the Z-direction.

3 나노미터 아래의 미래공정에서는 작은 면적의 표준셀(Standard Cell)을 구현하는 데에 많은 기술적인 개선을 요구한다. 따라서 어떠한 기술을 통해 얼마나 작은 면적의 표준셀을 구현할 수 있는지, 그리고 그 영향이 어떠한지 알아보는 것은 매우 중요하다. 본 논문에서는 3 나노미터와 이하의 미래공정에서 표준셀 설계를 위해 묻힌 전력망(Buried Power Rail, BPR)과 상호보완 FET(Complementary FET, CFET)이 면적 감소에 얼마나 기여하는지 살펴보며 그 영향을 기생 캐패시턴스 관점에서 분석한다. 본 논문을 통해 상호보완 FET은 4T 이하의 표준셀을 구현할 수 있는 기술이지만, Z-축으로 증가하는 높이만큼 상당한(+18.0% 이상) 기생 Cap의 영향을 받는다는 점을 밝힌다.

Keywords

References

  1. Intel's Revolutionary 22 nm Transistor Technology, Intel Newsroom, 2011.
  2. Eun-Jung Yoon et al., "Sub 30 nm multibridge-channel MOSFET (MBCFET) with metal gate electrode for ultra high performance application," IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004. DOI: 10.1109/IEDM.2004.1419244
  3. Y. Jiang et al., "Nanowire FETs for low power CMOS applications featuring novel gate-all-around single metal FUSI gates with dual $\Phi$m and VT tune-ability," 2008 IEEE International Electron Devices Meeting (IEDM), 2008. DOI: 10.1109/IEDM.2008.4796836
  4. D. Jang et al., "Device Exploration of NanoSheet Transistors for Sub-7-nm Technology Node," IEEE Transactions on Electron Devices, vol.64, no.6, pp.2707-2713, 2017. DOI: 10.1109/TED.2017.2695455
  5. P. Weckx et al., "Novel forksheet device architecture as ultimate logic scaling device towards 2nm," 2019 IEEE International Electron Devices Meeting (IEDM), 2019. DOI: 10.1109/IEDM19573.2019.8993635
  6. J. Ryckaert et al., "The Complementary FET (CFET) for CMOS scaling beyond N3," Symposium on VLSI Technology, 2018. DOI: 10.1109/VLSIT.2018.8510618
  7. P. Schuddinck et al., "Device-, Circuit- & Blocklevel evaluation of CFET in a 4 track library," Symposium on VLSI Technology, 2019. DOI: 10.23919/VLSIT.2019.8776513
  8. Metrology Challenges for Gate-All-Around 2020. https://semiengineering.com/metrology-challenges-for-gate-all-around/
  9. S. Sinha et al., "Circuit design perspectives for Ge FinFET at 10nm and beyond," IEEE International Symposium on Quality Electronic Design (ISQED), 2015. DOI: 10.1109/ISQED.2015.7085398
  10. Y. Kikuchi et al., "Electrical properties and TDDB performance of Cu interconnects using ALD Ta(Al)N barrier and Ru liner for 7nm node and beyond," IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC), 2016. DOI: 10.1109/IITC-AMC.2016.7507696
  11. C. Wu et al., "Conduction and Breakdown Mechanisms in Low-k Spacer and Nitride Spacer Dielectric Stacks in Middle of Line Interconnects," IEEE International Reliability Physics Symposium (IRPS), 2020. DOI: 10.1109/IRPS45951.2020.9128328
  12. M. O. Hossen et al., "Power Delivery Network (PDN) Modeling for Backside-PDN Configurations With Buried Power Rails and ${\mu}$ TSVs," IEEE Transactions on Electron Devices, vol.67, no.1, pp.11-17, 2020. DOI: 10.1109/TED.2019.2954301
  13. J. Ryckaert et al., "Extending the roadmap beyond 3nm through system scaling boosters: A case study on Buried Power Rail and Backside Power Delivery," Electron Devices Technology and Manufacturing Conference (EDTM), 2019. DOI: 10.1109/EDTM.2019.8731234
  14. A. Gupta et al., "High-Aspect-Ratio Ruthenium Lines for Buried Power Rail," IEEE International Interconnect Technology Conference (IITC), 2018. DOI: 10.1109/IITC.2018.8430415
  15. D. Prasad et al., "Buried Power Rails and Back-side Power Grids: Arm(R) CPU Power Delivery Network Design Beyond 5nm," IEEE International Electron Devices Meeting (IEDM), 2019. DOI: 10.1109/IEDM19573.2019.8993617