DOI QR코드

DOI QR Code

Bringing 3D ICs to Aerospace: Needs for Design Tools and Methodologies

  • Lim, Sung Kyu (School of Electrical and Computer Engineering, Georgia Institute of Technology)
  • Received : 2017.05.05
  • Accepted : 2017.06.07
  • Published : 2017.06.30

Abstract

Three-dimensional integrated circuits (3D ICs), starting with memory cubes, have entered the mainstream recently. The benefits many predicted in the past are indeed delivered, including higher memory bandwidth, smaller form factor, and lower energy. However, 3D ICs have yet to find their deployment in aerospace applications. In this paper we first present key design tools and methodologies for high performance, low power, and reliable 3D ICs that mainly target terrestrial applications. Next, we discuss research needs to extend their capabilities to ensure reliable operations under the harsh space environments. We first present a design methodology that performs fine-grained partitioning of functional modules in 3D ICs for power reduction. Next, we discuss our multi-physics reliability analysis tool that identifies thermal and mechanical reliability trouble spots in the given 3D IC layouts. Our tools will help aerospace electronics designers to improve the reliability of these 3D IC components while not degrading their energy benefits.

Keywords

References

  1. P. M. Gouker, B. Tyrrell, M. Renzi, C. Chen, P. Wyatt, J. R. Ahlbin et al., "SET characterization in logic circuits fabricated in a 3DIC technology," IEEE Transactions on Nuclear Science, vol. 58, no. 6, pp. 2555-2562, 2011. https://doi.org/10.1109/TNS.2011.2172462
  2. M. Jung, J. Mitra, D. Z. Pan, and S. K. Lim, "TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC," Communications of the ACM, vol. 57, no. 1, pp. 107-115, 2014. https://doi.org/10.1145/2494536
  3. K. Athikulwongse, J. S. Yang, D. Z. Pan, and S. K. Lim, "Impact of mechanical stress on the full chip timing for through-silicon-viabased 3-D ICs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 32, no. 6, pp. 905-917, 2013. https://doi.org/10.1109/TCAD.2013.2237770
  4. G. Van der Plas, P. Limaye, I. Loi, A. Mercha, H. Oprins, C. Torregiani et al., "Design issues and considerations for low-Cost 3D TSV IC technology," in Proceedings of 2010 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, 2010.
  5. S. K. Lim, "Research needs for TSV-based 3D IC architectural floorplanning," Journal of Information and Communication Convergence Engineering, vol. 12, no. 1, pp. 46-52, 2014. https://doi.org/10.6109/jicce.2014.12.1.046
  6. P. M. Gouker, B. Tyrrell, R. D'Onofrio, P. Wyatt, T. Soares, W. Hu, et al., "Radiation effects in 3D integrated SOI SRAM circuits," IEEE Transactions on Nuclear Science, vol. 58, no. 6, pp. 2845- 2854, 2011. https://doi.org/10.1109/TNS.2011.2172463
  7. R. Kirschman, "Low-temperature electronics," IEEE Circuits and Devices Magazine, vol. 6, no. 3, pp. 12-24, 1990.

Cited by

  1. Optimization of Line-Tunneling Type L-Shaped Tunnel Field-Effect-Transistor for Steep Subthreshold Slope vol.7, pp.11, 2018, https://doi.org/10.3390/electronics7110275
  2. Electrical Coupling and Simulation of Monolithic 3D Logic Circuits and Static Random Access Memory vol.10, pp.10, 2017, https://doi.org/10.3390/mi10100637
  3. Investigation of Monolithic 3D Integrated Circuit Inverter with Feedback Field Effect Transistors Using TCAD Simulation vol.11, pp.9, 2020, https://doi.org/10.3390/mi11090852
  4. Electrical Coupling of Monolithic 3D Inverters (M3INVs): MOSFET and Junctionless FET vol.11, pp.1, 2017, https://doi.org/10.3390/app11010277
  5. Monolithic 3D Inverter with Interface Charge: Parameter Extraction and Circuit Simulation vol.11, pp.24, 2021, https://doi.org/10.3390/app112412151