DOI QR코드

DOI QR Code

Robust Placement Method for IR Drop in Power Gating Design

파워 게이팅 설계에서 IR Drop에 견고한 셀 배치 방법

  • 권석일 (성균관대학교 반도체디스플레이 공학과) ;
  • 한태희 (성균관대학교 정보통신대학)
  • Received : 2016.02.02
  • Accepted : 2016.05.31
  • Published : 2016.06.25

Abstract

Power gating is one of effective techniques for reducing leakage current in semiconductor chip. However, power gating cell (PGC) which is used to switch the power source causes performance degradation and the associated reliability problem by increasing IR drop. However, the newly raised problem caused by different scaling properties between gates and metal wires demands additional considerations in power gating design. In this paper, we propose a robust cell placement based power gating design method for reducing the area for power gating cell and metal routing thus to meet IR drop requirement. Experimental results by applying the proposed techniques on the application processor for smartphone fabricated in 28nm CMOS process show that power gating cell area is reduced by 16.16% and maximum IR drop value is also decreased by 8.49% compared to existing power gating cell placement techniques.

파워 게이팅은 반도체 칩의 누설전류(leakage current)를 감소시키는 데 효과적인 기술로 알려져 있으며, 전원 차단용 파워게이팅 셀 (power-gating cell, PGC)에서의 IR drop 증가로 인한 성능 및 신뢰성 저하에 대해 많은 연구가 이루어져왔다. 그러나 최신 공정에서는 트랜지스터 사이즈 감소 추세에도 불구하고 금속 배선의 스케일링이 제한됨에 따라, IR drop에 견고한 파워 게이팅 설계 시 셀 배치와 금속 배선 면적을 고려한 새로운 접근 방식이 필요하다. 본 논문에서는 셀 점유율(cell utilization)과 소모 전류에 근거한 로직 셀 배치 기법을 통해 PGC 면적 및 IR drop을 개선한 파워 게이팅 설계 방법을 제안한다. 28nm 공정으로 제조된 스마트폰용 어플리케이션 프로세서(Application processor, AP) 내 고속 디지털 코어에 적용한 결과 기존 PGC 배치 기법 대비 PGC 면적은 12.59~16.16%, 최대 IR drop은 8.49% 감소함을 확인하였다.

Keywords

References

  1. Shi-Hao Chen, Youn-Long Lin, and Mango C-T. Chao, "Power-up sequence control for MTCMOS designs," IEEE Trans. Very Large Scale Integration Systems, Vol. 21, no. 3, pp. 413-423, Mar. 2013. https://doi.org/10.1109/TVLSI.2012.2187689
  2. Preeti Gupta. Be Early with Power. Chip Design Magazine, (2006), [Online], Available: www.chipdesignmag.com/display.php?articleId=613
  3. Khalil DiaaEldin, and Ismail Yehea, "Optimum sizing of power grids for IR drop," Proceeding of 2006 IEEE International Symposium Circuits and Systems(ISCAS), pp 481-484. May, 2006.
  4. Lee Kee Yong, and Chee Kong Ung, "Power density aware power gate placement optimization scheme," Proceeding of 2010 2nd Asia Symp. Quality Electronic Design(ASQED), pp. 38-42, Aug. 2010.
  5. Shi, Kaijian, Zhian Lin, and Yi-Min Jiang, "Simultaneous sleep transistor insertion and power network synthesis for industrial power gating designs," Proceeding of 2007 Quality Electronic Design 07 8th 'International Symp. (ISQED) pp. 362-367, Mar. 2007.
  6. Jai-Ming Lin, Che-Chun Lin Lin, "Current density aware power switch placement algorithm for power gating designs," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 34, no 3, pp. 766-777, May. 2015. https://doi.org/10.1109/TCAD.2015.2401573
  7. David Abercrombie, Elakkumanan Praveen, and Lars Liebman, "Restrictive design rules and their impact on 22 nm design and physical verification," Proceeding of 2009 Electronic Design Processes Symposium. Vol. 143. Apr. 2009.
  8. Meng-Kai Hsu, Katta Nitesh, homer Yen-Hung Lin, Keny Tzu-Hen Lin, King Ho Tam, Ken Chung-Hsing Wang, "Design and manufacturing process co-optimization in nano-technology," Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design, pp 574-581, Nov. 2014.
  9. Tsuang-Wei Chang, Ting-Ting Hwang, and Sheng-Yu Hsu, "Functionality directed clustering for low power MTCMOS design," Proceedings of 2005 Asia and South Pacific Design Automation Conference, Vol. 2. pp. 862-867, Jan. 2005.
  10. James Kao, Anantha Chandrakasan, and Dimitri Antoniadis, "Transistor sizing issues and tool for multi-threshold CMOS technology," Proceedings of the 34th annual Design Automation Conference. ACM, pp. 409-414, Jun. 1997.
  11. Anis Mohab, Shawki Areibi and Mohamed Elmasry, "Design and optimization of multi-threshold CMOS(MTCMOS) circuits," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 22, no. 10, pp. 1324-1432, Oct. 2003. https://doi.org/10.1109/TCAD.2003.818127
  12. Changbo Long and Lei He, "Distributed sleep transistor network for power reduction", IEEE Trans. Very Large Scale Integration Systems, Vol. 12, no. 9, pp. 937-946, Sep. 2004. https://doi.org/10.1109/TVLSI.2004.832939
  13. Benton H. Calhoun, Frank A. Honore and Anantha P Chandrakasan, "A leakage reduction methodology for distributed MTCMOS," IEEE Journal of Solid State Circuits(JSSC), vol. 39, no. 5, pp. 818-826, May, 2004. https://doi.org/10.1109/JSSC.2004.826335
  14. Wang Yu, Lin Hai, Yang Huazhong, Luo Rong, Wang Hui, "Simultaneous Fine-grain Sleep Transistor Placement and Sizing for Leakage Optimization," Proceeding of 2006 International Symposium on Quality Electronic Design (ISQED), pp. 723-728, Mar. 2006.
  15. Fang Bao, Tehranippor Mohammad, and Chen Huanting, "Worst-Case Critical-Path Delay Analysis Considering Power-Supply Noise," Proceeding of 2013 22nd Asian Test Symposium(ATS), IEEE, pp. 37-42, Nov. 2013.
  16. Andrade Dennis, Robio A, Calomarde A., and Contofana S. D., "Analysis of delay mismatching of digital circuits caused by common environmental fluctuations," Proceeding of 2011 Circuits and Systems(ISCAS), IEEE International Symposium on. pp. 2585-2588, May. 2011.
  17. Runjie Zhang, Brett H. Meyer, Wei Huang, Kevin Skadron, Mircea R. Stan, "Some limits of power delivery in the multicore era," Proceedings of 2012 4th Workshop on Energy Efficient Design(WEED), Jun. 2012.
  18. Lin, Shen, and Norman Chang. "Challenges in power-ground integrity." Proceeding of 2011 IEEE/ACM Conference on Computer Aided Design(ICCAD), pp. 651-654, Nov. 2001.
  19. Yang, Se-Hyun, Pyo, Jung-ryul, and Shin, Young-min, "A 1.6 GHz quad-core application processor manufactured in 32 nm high-k metal gate process for smart mobile devices." IEEE Communications Magazine, Vol. 51, pp. 94-98, Apr. 2013.
  20. Synopsys IC Compiler, Synopsys IC Compiler Implementation User Guide Manual, (2015), [Online] Available:http://www.synopsys.com/
  21. Apache Design Inc. RedHawk, RedHawk User Reference Manual, (2015), [Online] Available:https://www.apache-da.com/
  22. Soman, Brahme, Venkatraman, Shaikh, Thiyagaraja, Patil "Ensuring On-Die Power Supply Robustness in High-Performance Designs" Proceedings of 24th VLSI Design International Conference on IEEE, 2011.
  23. Rabaey, Jan M., Anantha P. Chandrakasan, and Borivoje Nikolic. Digital integrated circuits. Vol. 2. Englewood Cliffs: Prentice hall, pp. 508-512, 2002.