DOI QR코드

DOI QR Code

A Locality-Aware Write Filter Cache for Energy Reduction of STTRAM-Based L1 Data Cache

  • Kong, Joonho (School of Electronics Engineering, Kyungpook National University)
  • Received : 2015.07.26
  • Accepted : 2015.11.02
  • Published : 2016.02.28

Abstract

Thanks to superior leakage energy efficiency compared to SRAM cells, STTRAM cells are considered as a promising alternative for a memory element in on-chip caches. However, the main disadvantage of STTRAM cells is high write energy and latency. In this paper, we propose a low-cost write filter (WF) cache which resides between the load/store queue and STTRAM-based L1 data cache. To maximize efficiency of the WF cache, the line allocation and access policies are optimized for reducing energy consumption of STTRAM-based L1 data cache. By efficiently filtering the write operations in the STTRAM-based L1 data cache, our proposed WF cache reduces energy consumption of the STTRAM-based L1 data cache by up to 43.0% compared to the case without the WF cache. In addition, thanks to the fast hit latency of the WF cache, it slightly improves performance by 0.2%.

Keywords

References

  1. A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das, "Cache Revive: Architecting Volatile STT-RAM Caches for Enhanced Performance in CMPs," in Proceedings of 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC), 2012, pp. 243-252.
  2. J. Ahn, S. Yoo, and K. Choi, "DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture," in 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA), 2014, pp. 25-36.
  3. Z. Wang, D. Jimenez, C. Xu, G. Sun, and Y. Xie, "Adaptive Placement and Migration Policy for an STT-RAM-based Hybrid Cache," in 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA), 2014, pp. 13-24.
  4. Y.-T. Chen, J. Cong, H. Huang, C. Liu, R. Prabhakar, and G. Reinman, "Static and Dynamic Co-optimizations for Blocks Mapping in Hybrid Caches," in Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, 2012, pp. 237-242.
  5. P. Zhou, B. Zhao, J. Yang, and Y. Zhang, "Energy Reduction for STT-RAM Using Early Write Termination," in IEEE/ACM International Conference on Computer-Aided Design (ICCAD) - Digest of Technical Papers, 2009., 2009, pp. 264-268.
  6. S. P. Park, S. Gupta, N. Mojumder, A. Raghunathan, and K. Roy, "Future Cache Design Using STT MRAMs for Improved Energy Efficiency: Devices, Circuits and Architecture," in Proceedings of 2012 49th ACM/EDAC/IEEE Design Automation Conference (DAC), 2012, pp. 492-497.
  7. C. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. Stan, "Relaxing Non-volatility for Fast and Energy-efficient STT-RAM Caches," in Proceedings of 2011 IEEE 17th International Symposium on High Performance Computer Architecture (HPCA), Feb 2011, pp. 50-61.
  8. Z. Sun, X. Bi, H. H. Li, W.-F. Wong, Z.-L. Ong, X. Zhu, and W. Wu, "Multi Retention Level STTRAM Cache Designs with a Dynamic Refresh Scheme," in Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, 2011, pp. 329-338.
  9. J. Yao, J. Ma, T. Chen, and T. Hu, "An Energy-Efficient Scheme for STT-RAM L1 Cache," in Proceedings of 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013, pp. 1345-1350.
  10. N. Duong, T. Kim, D. Zhao, and A. V. Veidenbaum, "Revisiting Level-0 Caches in Embedded Processors," in Proceedings of the 2012 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, 2012, pp. 171-180.
  11. J. Kin, M. Gupta, and W. H. Mangione-Smith, "The Filter Cache: An Energy Efficient Memory Structure," in Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture, 1997, pp. 184-193.
  12. D. Patterson and J. Hennessy, "Computer Architecture: A Quantitative Approach. 5th ed., Morgan Kaufmann; 2011.
  13. J. Edler and M. D. Hill, "Dinero IV Trace-Driven Uniprocessor Cache Simulator", [Online]. Available: http://www.cs.wisc.edu/-markhill/DineroIV/.
  14. J. J. Sharkey, D. Ponomarev, and K. Ghose, "MSim: A Flexible, Multithreaded Architectural Simulation Environment," in Technical Report CSTR-05-DP01, Department of Computer Science, State University of New York at Binghamton, 2005.
  15. "SimpleScalar toolset." [Online]. Available: http://www.simplescalar.com
  16. "ARM Cortex-A15." [Online]. Available: http://www.arm.com/products/processors/cortex-a/cortexa15.php
  17. N. Muralimanohar and R. Balasubramonian, "CACTI 6.0: A Tool to Model Large Caches."
  18. S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi, "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures", in Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009, pp. 469-480.
  19. J. Wang, Y. Tim, W.-F. Wong, Z.-L. Ong, Z. Sun, H. H. Li, "A coherent hybrid SRAM and STTRAM L1 cache architecture for shared memory multicores". In Proceedings of 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 2014, pp. 610-615.
  20. Y. Li, Y. Zhang, H. LI, Y. Chen, and A. K. Jones, "C1C: A Configurable, Compiler-guided STTRAM L1 Cache," ACM Transactions on Architecture and Code Optimization, vol. 10, no. 4, pp. 52:1-52:22, 2013.
  21. J. Ahn and K. Choi, "LASIC: Loop-Aware Sleepy Instruction Caches Based on STT-RAM Technology," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 22, no. 5, pp. 1197-1201, 2014. https://doi.org/10.1109/TVLSI.2013.2265278
  22. W. Tang, R. K. Gupta, and A. Nicolau, "Power Savings in Embedded Processors through Decode Filer Cache", in Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 443-448, 2002.
  23. Young Jin Park, Hong Jun Choi, Cheol Hong Kim, and Jong-Myon Kim, "Energy-aware Filter Cache Architecture for Multicore Processors", in Proceedings of Fifth IEEE International Symposium on Electronic Design, Test & Applications (DELTA), pp. 58-62, 2010.
  24. Hong Jun Choi, Young Jin Park, Seung Gu Kang, Cheol Hong Kim, Sung Woo Chung, Jong-Myon Kim, and Dongseop Kwon, "Thermal-aware Duplicated Filter Cache for Improving Processor Reliability", in Proceedings of the 2010 International Conference on Computer Design (CDES), pp. 160-168, 2010.