DOI QR코드

DOI QR Code

New Thermal-Aware Voltage Island Formation for 3D Many-Core Processors

  • Hong, Hyejeong (Department of Electrical & Electronic Engineering, Yonsei University) ;
  • Lim, Jaeil (Department of Electrical & Electronic Engineering, Yonsei University) ;
  • Lim, Hyunyul (Department of Electrical & Electronic Engineering, Yonsei University) ;
  • Kang, Sungho (Department of Electrical & Electronic Engineering, Yonsei University)
  • Received : 2014.03.01
  • Accepted : 2014.10.06
  • Published : 2015.02.01

Abstract

The power consumption of 3D many-core processors can be reduced, and the power delivery of such processors can be improved by introducing voltage island (VI) design using on-chip voltage regulators. With the dramatic growth in the number of cores that are integrated in a processor, however, it is infeasible to adopt per-core VI design. We propose a 3D many-core processor architecture that consists of multiple voltage clusters, where each has a set of cores that share an on-chip voltage regulator. Based on the architecture, the steady state temperature is analyzed so that the thermal characteristic of each voltage cluster is known. In the voltage scaling and task scheduling stages, the thermal characteristics and communication between cores is considered. The consideration of the thermal characteristics enables the proposed VI formation to reduce the total energy consumption, peak temperature, and temperature gradients in 3D many-core processors.

Keywords

References

  1. J. Hu et al., "Architecturing Voltage Islands in Core-Based System-on-a-Chip-Designs," Int. Symp. Low Power Electron. Des., Newport, CA, USA, Aug. 9-11, 2004, pp. 180-185.
  2. W.-K. Mak and W. Chen, "Voltage Island Generation under Performance Requirement for SoC Designs," Asia South Pacific Des. Autom. Conf., Yokohama, Japan, Jan. 23-26, 2007, pp. 798-803.
  3. J.W. Joyner, P. Zarkesh-Ha, and J.D. Meindl, "A Stochastic Global Net-Length Distribution for a Three-Dimensional Systemon-a-Chip (3D-SoC)," Int. ASIC/SOC Conf., Arlington, VA, USA, Sept. 2001, pp. 147-151.
  4. S. Borkar, "Thousand Core Chips: A Technology Perspective," Des. Autom. Conf., San Diego, CA, USA, June 2007, pp. 746-749.
  5. A.K. Singh et al., "Mapping on Multi/Many-Core Systems: Survey of Current and Emerging Trends," ACM/EDAC/IEEE Des. Autom. Conf., Austin, TX, USA, June 2013, pp. 1-10.
  6. Y. Xie et al., "Design Space Exploration for 3D Architecture," ACM J. Emerg. Technol. Comput. Syst., vol. 2, no. 2, Apr. 2006, pp. 65-103. https://doi.org/10.1145/1148015.1148016
  7. X. Zhou, J. Yang, and Y. Zhang, "Thermal-Aware Task Scheduling for 3D Multicore Processors," IEEE Trans. Parallel Distrib. Syst., vol. 21, no. 1, Jan. 2010, pp. 60-71. https://doi.org/10.1109/TPDS.2009.27
  8. J. Kong, S.W. Chung, and K. Skadron, "Recent Thermal Management Techniques for Microprocessors," ACM Comput. Survey, vol. 44, no. 3, June 2012.
  9. W. Kim et al., "A Fully-Integrated 3-Level DC/DC Converter for Nanosecond-Scale DVS with Fast Shunt Regulation," Int. Solid- State Circuits Conf., San Francisco, CA, USA, Feb. 20-24, 2011, pp. 268-270.
  10. N. Sturcken et al., "A 2.5D Integrated Voltage Regulator Using Coupled-Magnetic-Core Inductors on Silicon Interposer Delivering 10.8 $A/mm^2$," Int. Solid-State Circuits Conf., San Francisco, CA, USA, Feb. 19-23, 2012, pp. 400-402.
  11. M. Bao et al., "On-Line Thermal Aware Dynamic Voltage Scaling for Energy Optimization with Frequency/Temperature Dependency Consideration," Des. Autom. Conf., San Francisco, CA, USA, July 26-31, 2009, pp. 490-495.
  12. K. Kang et al., "Temperature-Aware Integrated DVFS and Power Gating for Executing Tasks with Runtime Distribution," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 29, no. 9, Sept. 2010, pp. 1381-1394. https://doi.org/10.1109/TCAD.2010.2059290
  13. S. Majzoub et al., "Energy Optimization for Many-Core Platforms: Communication and PVT Aware Voltage-Island Formation and Voltage Selection Algorithm," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 29, no. 5, May 2010, pp. 816-829. https://doi.org/10.1109/TCAD.2010.2043587
  14. X. Zhou et al., "Thermal Management for 3D Processors via Task Scheduling," Int. Conf. Parallel Process., Portland, OR, USA, Sept. 9-12, 2008, pp. 115-122.
  15. J. Meng, K. Kawakami, and A.K. Coskun, "Optimizing Energy Efficiency of 3D Multicore Systems with Stacked DRAM under Power and Thermal Constraints," ACM/EDAC/IEEE Des. Autom. Conf., San Francisco, CA, USA, June 3-7, 2012, pp. 648-655.
  16. D. Cuesta et al., "Thermal-Aware Floorplanning Exploration for 3D Multi-core Architectures," Great Lakes Symp. VLSI, Providence, RI, USA , 2010, pp. 99-102.
  17. A.K. Coskun, A.B. Kahng, and T.S. Rosing, "Temperatureand Cost-Aware Design of 3D Multiprocessor Architecture," Euromicro Conf. Digital Syst. Des., Archit., Methods Tools, Patras, Greece, Aug. 27-29, 2009, pp. 183-190.
  18. S. Dighe et al., "Within-Die Variation-Aware Dynamic-Voltage- Frequency-Scaling with Optimal Core Allocation and Thread Hopping for the 80-Core TeraFLOPS Processor," IEEE J. Solid- State Circuits, vol. 46, no. 1, Jan. 2011, pp. 184-193. https://doi.org/10.1109/JSSC.2010.2080550
  19. P.D. Franzon et al., "Design for 3D Integration and Applications," Int. Symp. Signals, Syst. Electron., Montreal, Canada, July 30- Aug. 2, 2007, pp. 263-266.
  20. L.P. Carloni, P. Pande, and X. Yuan, "Networks-on-Chip in Emerging Interconnect Paradigms: Advantages and Challenges," Int. Symp. Netw.-on-Chip, San Diego, CA, USA, May 10-13, 2009, pp. 93-102.
  21. K. Skadron et al., "Temperature-Aware Microarchitecture," Int. Symp. Comput. Archit., San Diego, CA, USA, June 9-11, 2003, pp. 2-13.
  22. C.H. Chao et al., "Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D Noc Systems," Int. Symp. Netw.-on-Chip, Grenoble, France, May 3-6, 2010, pp. 223-230.
  23. T. Tobita and H. Kasahara, "A Standard Task Graph Set for Fair Evaluation of Multiprocessor Scheduling Algorithms," J. Scheduling, vol. 5, no. 5, 2002, pp. 379-394. https://doi.org/10.1002/jos.116

Cited by

  1. Light-Weight and Versatile Monitor for a Self-Adaptive Software Framework for IoT Systems vol.2016, pp.None, 2015, https://doi.org/10.1155/2016/8085407