DOI QR코드

DOI QR Code

Design Challenges and Solutions for Ultra-High-Density Monolithic 3D ICs

  • Panth, Shreepad (School of Electrical and Computer Engineering, Georgia Institute of Technology) ;
  • Samal, Sandeep (School of Electrical and Computer Engineering, Georgia Institute of Technology) ;
  • Yu, Yun Seop (Department of Electrical, Electronic, and Control Engineering, Hankyong National University) ;
  • Lim, Sung Kyu (School of Electrical and Computer Engineering, Georgia Institute of Technology)
  • Received : 2014.02.12
  • Accepted : 2014.05.16
  • Published : 2014.09.30

Abstract

Monolithic three-dimensional integrated chips (3D ICs) are an emerging technology that offers an integration density that is some orders of magnitude higher than the conventional through-silicon-via (TSV)-based 3D ICs. This is due to a sequential integration process that enables extremely small monolithic inter-tier vias (MIVs). For a monolithic 3D memory, we first explore the static random-access memory (SRAM) design. Next, for digital logic, we explore several design styles. The first is transistor-level, which is a design style unique to monolithic 3D ICs that are enabled by the ultra-high-density of MIVs. We also explore gate-level and block-level design styles, which are available for TSV-based 3D ICs. For each of these design styles, we present techniques to obtain the graphic database system (GDS) layouts, and perform a signoff-quality performance and power analysis. We also discuss various challenges facing monolithic 3D ICs, such as achieving 50% footprint reduction over two-dimensional (2D) ICs, routing congestion, power delivery network design, and thermal issues. Finally, we present design techniques to overcome these challenges.

Keywords

References

  1. P. Batude, M. Vinet, A. Pouydebasque, C. Le Royer, B. Previtali, C. Tabone, et al., "Advances in 3D CMOS sequential integration," in Proceedings of the IEEE International Electron Devices Meeting, Baltimore, MD, pp. 1-4, 2009.
  2. C. Liu and S. K. Lim, "Ultra-high density 3D SRAM cell designs for monolithic 3D integration," in Proceedings of the IEEE International Interconnect Technology Conference, San Jose, CA, pp. 1-3, 2012.
  3. C. Liu and S. K. Lim, "A design tradeoff study with monolithic 3D integration," in Proceedings of the 13th International Symposium on Quality Electronic Design, Santa Clara, CA, pp. 529-536, 2012.
  4. Y. J. Lee, P. Morrow, and S. K. Lim, "Ultra high density logic designs using transistor-level monolithic 3D integration," in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, CA, pp. 539-546, 2012.
  5. Y. J. Lee, D. Limbrick, and S. K. Lim, "Power benefit study for ultra-high density transistor-level monolithic 3D ICs," in Proceedings of the IEEE/ACM Design Automation Conference, Austin, TX, pp. 1-10, 2013.
  6. S. Samal, K. Samadi, P. Kamal, Y. Du, and S. K. Lim, "Full chip impact study of power delivery network designs in monolithic 3D ICs," in Proceedings of the IEEE International Conference on Computer-Aided Design, 2014.
  7. S. Panth, K. Samadi, Y. Du, and S. K. Lim, "High-density integration of functional modules using monolithic 3D-IC technology," in Proceedings of the 18th IEEE/ACM Asia and South Pacific Design Automation Conference, Yokohama, Japan, pp. 681-686, 2013.
  8. S. Panth, K. Samadi, Y. Du, and S. K. Lim, "Power-performance study of block-level monolithic 3D-ICs considering inter-tier performance variations," in Proceedings of the 51st IEEE/ACM Design Automation Conference, San Francisco, CA, pp. 1-6, 2014.
  9. S. K. Samal, S. Panth, K. Samadi, M. Saeidi, Y. Du, and S. K. Lim, "Fast and accurate thermal modeling and optimization for monolithic 3D ICs," in Proceedings of the 51st IEEE/ACM Design Automation Conference, San Francisco, CA, pp. 1-6, 2014.

Cited by

  1. Device Coupling Effects of Monolithic 3D Inverters vol.14, pp.1, 2016, https://doi.org/10.6109/jicce.2016.14.1.040