DOI QR코드

DOI QR Code

기준 메모리를 이용한 메모리 컴파일러 특성화 방법

Characterization Method of Memory Compiler Using Reference Memories

  • 신우철 (동부하이텍(주) TE 팀) ;
  • 송혜경 (동부하이텍(주) TE 팀) ;
  • 정원영 (동부하이텍(주) TE 팀) ;
  • 조경순 (한국외국어대학교 전자정보공학부)
  • 투고 : 2013.10.03
  • 발행 : 2014.02.25

초록

본 논문에서는 메모리 컴파일러를 정확하고 빠르게 특성화할 수 있도록 기준 메모리를 기반으로 특성화하는 방법을 제안하였다. 제안한 특성화 방법은 메모리 컴파일러의 정확도를 유지하면서 특성화 시간을 최소화하기 위해 메모리 컴파일러의 타이밍 경향을 분석하고 분석 결과를 토대로 기준 메모리를 선정하고, 메모리간의 경향성을 대변할 수 있도록 모델링하였다. 본 논문에서 제안한 방법론을 검증하기 위하여 130nm에서 개발된 메모리 컴파일러를 제안한 방법을 이용하여 110nm 메모리 컴파일러를 특성화하였다. 이를 통해 생성한 메모리들의 특성과 SPICE를 사용하여 특성화한 결과를 비교하여 메모리 타이밍의 평균 오차율은 ${\pm}0.1%$ 이내였으며 실제 110nm 공정을 사용하여 제작된 메모리 BIST(Built-In Self Test) 테스트 칩으로 기능 검사한 결과, 수율(Yield)이 98.8% 임을 확인하였다. 또한, 180nm 공정을 사용하여 비교한 결과, 수율이 98.3%로 그 유용성을 확인할 수 있었다.

This paper proposes a characterization method based on the reference memory to characterize memory compiler quickly and accurately. In order to maintain the accuracy of the memory complier and to minimize characterization time, the proposed method models the trends of the generated memories by selecting the reference memories after analyzing the timing trends of the memory compiler. To validate the proposed method, we characterized the 110nm memory compiler derived from 130nm memroy compiler. The average error rate of the characteristics of the memories generated by the proposed method and SPICE simulation is lower than ${\pm}0.1%$. Furthermore, we designed memory BIST test chips at 110nm and 180nm processes and the results of the function test show that the yield is 98.8% and 98.3%, respectively. Therefore, the proposed method is useful to characterize the memory compiler.

키워드

참고문헌

  1. Artisan Memory Compiler User Guide. 2009.
  2. Yen-Yu Chen, Shi-Yu Huang, and Yi-Chung Chang, "Rapid and Accurate Timing Modeling for SRAM Compiler," IEEE International Workshop on Memory Technology, Design, and Testing. pp.73-76, Sept. 2009.
  3. Zhao-Yong Zhang, Chia-Cheng Chen * , and Jian-Bin Zheng, "A 90-nm CMOS Embedded Low Power SRAM Compiler," IEEE Conference. ASICON '09, pp.625-628, 0ct. 2009.
  4. Magma Design Automation, Inc.,SiliconSmart ACE User's Guide. 2012.
  5. http://www.opensourceliberty.org/about_liberty.html
  6. Sharad Gupta, Parvinder Kumar Rana, "A 28nm 6T SRAM memory compiler with a variation tolerant replica circuit," ISOCC Conference, pp.636-639, Nov. 2012.