DOI QR코드

DOI QR Code

3차원 실장용 TSV 고속 Cu 충전 및 Non-PR 범핑

High-Speed Cu Filling into TSV and Non-PR Bumping for 3D Chip Packaging

  • 홍성철 (서울시립대학교 신소재공학과) ;
  • 김원중 (서울시립대학교 신소재공학과) ;
  • 정재필 (서울시립대학교 신소재공학과)
  • 투고 : 2011.12.14
  • 심사 : 2011.12.22
  • 발행 : 2011.12.30

초록

TSV(through-silicon-via)를 이용한 3차원 Si 칩 패키징 공정 중 전기 도금을 이용한 비아 홀 내 Cu 고속 충전과 범핑 공정 단순화에 관하여 연구하였다. DRIE(deep reactive ion etching)법을 이용하여 TSV를 제조하였으며, 비아홀 내벽에 $SiO_2$, Ti 및 Au 기능 박막층을 형성하였다. 전도성 금속 충전에서는 비아 홀 내 Cu 충전율을 향상시키기 위하여 PPR(periodic-pulse-reverse) 전류 파형을 인가하였으며, 범프 형성 공정에서는 리소그라피(lithography) 공정을 사용하지 않는 non-PR 범핑법으로 Sn-3.5Ag 범프를 형성하였다. 전기 도금 후, 충전된 비아의 단면 및 범프의 외형을 FESEM(field emission scanning electron microscopy)으로 관찰하였다. 그 결과, Cu 충전에서는 -9.66 $mA/cm^2$의 전류밀도에서 60분간의 도금으로 비아 입구의 도금층 과성장에 의한 결함이 발생하였고, -7.71 $mA/cm^2$에서는 비아의 중간 부분에서의 도금층 과성장에 의한 결함이 발생하였다. 또한 결함이 생성된 Cu 충전물 위에 전기 도금을 이용하여 범프를 형성한 결과, 범프의 모양이 불규칙하고, 균일도가 감소함을 나타내었다.

High-speed Cu filling into a through-silicon-via (TSV) and simplification of bumping process by electroplating for three dimensional stacking of Si dice were investigated. The TSV was prepared on a Si wafer by deep reactive ion etching, and $SiO_2$, Ti and Au layers were coated as functional layers on the via wall. In order to increase the filling rate of Cu into the via, a periodic-pulse-reverse wave current was applied to the Si chip during electroplating. In the bumping process, Sn-3.5Ag bumping was performed on the Cu plugs without lithography process. After electroplating, the cross sections of the vias and appearance of the bumps were observed by using a field emission scanning electron microscope. As a result, voids in the Cu-plugs were produced by via blocking around via opening and at the middle of the via when the vias were plated for 60 min at -9.66 $mA/cm^2$ and -7.71 $mA/cm^2$, respectively. The Cu plug with a void or a defect led to the production of imperfect Sn-Ag bump which was formed on the Cu-plug.

키워드

참고문헌

  1. Y. K. Tsuiand and S. W. Ricky, "Design and Fabrication of a Flip-chip-on-chip 3-D Packaging Structure with a Through Silicon via for Underfill Dispensing", IEEE Trans. Adv. Pack., 28, 413 (2004).
  2. M. Karnezos, "3D Packaging: Where All Technologies Come Together", Proc. 29th International Electronics Manufacturing Technology Symposium, San Jose, 64, IEEE/CPMT/SEMI (2004).
  3. C. Y. Yin, M. O. Alam, Y. C. Chan, C. Bailey and H. Lu, "The Effect of Reflow Process on the Contact Resistance and Reliability of Anisotropic Conductive Film Interconnection for Flip Chip on Flex Applications", Microelectron. Reliabil., 43, 625 (2003). https://doi.org/10.1016/S0026-2714(02)00348-7
  4. L. J. Ladani, "Numerical Analysis of Thermo-mechanical Reliability of Through Silicon Vias (TSVs) and Solder Interconnects in 3-dimensional Integrated Circuits", Microelectron. Eng., 87, 208 (2010). https://doi.org/10.1016/j.mee.2009.07.022
  5. C. Lee, S. Tsuru, Y. Kanda, S. Ikeda and M. Matsumura, "Formation of 100 μm Deep Vertical Pores in Si Wafers by Wet Etching and Cu Electrodeposition", J. Electrochem. Soc., 156, D543 (2009). https://doi.org/10.1149/1.3237139
  6. Y. Zhang, T. Richardson, S. Chung, C. Wang, B. Kim and C. Rietmann, "Fast Copper Plating Process for TSV Fill", Proc. International Microsystems, Packaging, Assembly Conference (IMPACT), Taipei, 219, IEEE CPMT (2007).
  7. S. W. Jung, J. P. Jung, and Y. N. Zhou, "Characteristics of Sn- Cu Solder Bump Formed by Electroplating for Flip Chip", IEEE Trans. Electron. Packag. Manufact., 29(1), 10 (2006). https://doi.org/10.1109/TEPM.2005.863266
  8. S. J. Hong, J. H. Jun, J. P. Jung, M. Mayer and Y. N. Zhou, "Sn Bumping without Photoresist Mould and Si Dice Stacking for 3-D Packaging", IEEE Trans. Electron. Packag. Manufact., 33(4), 912 (2010).
  9. ADVANCED PACKAGING : 3D IC & TSV Interconnects - Business Update (2010) report from http://www.i-micronews. com/reports/3D-IC-TSV-Interconnects-Business-Update- 2010-report/109/
  10. T. Luoh, C. T. Su, T. H. Yang, K. C. Chen and C. Y. Lu, "Advanced Tungsten Plug Process for beyond Nanometer Technology", Microelectron. Eng.,85(8),1739(2008). https://doi.org/10.1016/j.mee.2008.04.030
  11. I. R. Kim, J. K. Park, Y. C. Chu and J. P. Jung, "High Speed Cu Filling Into TSV by Pulsed Current for 3 Dimensional Chip(in Kor.)", Kor. J. Met. Mater., 48(7), 667 (2010).
  12. I. R. Kim, S. C. Hong and J. P. Jung, "High Speed Cu Filling into Tapered TSV for 3-dimensional Si Chip Stacking(in Kor)", Kor. J. Met. Mater., 49(5), 388 (2011).
  13. S. C. Hong, W. G. Lee, W. J. Kim, J. H. Kim and J. P. Jung, "Reduction of Defects in TSV filled with Cu by High-Speed 3-Step PPR for 3D Si Chip Stacking", Microelectron. Reliabil., 51, 2228 (2011). https://doi.org/10.1016/j.microrel.2011.06.031
  14. J. H. Jun, I. R. Kim, M. Mayer, Y. N. Zhou, S. B. Jung and J. P. Jung, "A New Non-PRM Bumping Process by Electroplating on Si Die for Three Dimensional Packaging", Mater. Trans., 51(10), 1887 (2010).
  15. S. J. Hong, S. C. Hong, W. J. Kim and J. P. Jung, "Copper Filling to TSV (Through-Si-Via) and Simplification of Bumping Process", J. Microelectron. Packag. Soc., 17(3), 79 (2010).
  16. Wikipedia, Wikipedia Foundation. lnc. Jan.(2011) from http:/ /en.wikipedia.org/wiki/Sheet_resistance
  17. S. Y. Lee and J. H. Lee, "Copper Via Filling Using Organic Additives and Wave Current Electroplating", J. Microelectron. Packag. Soc., 14(3), 37 (2007).
  18. Z. Wang, O. Yaegashi, H. Sakaue, T. Takahagi and S. Shingubara, "Bottom-up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating", J. Electrochem. Soc., 151(12), C781 (2004). https://doi.org/10.1149/1.1810453
  19. S. Shingubara, Z. Wang, O. Yaegashi, R. Obata, H. Sakaue and T. Takahagi, "Bottom-up Fill of Copper in Deep Submicrometer Holes by Electroless Plating", Electrochemical and Solid-State Letters, 7(6), C78 (2004). https://doi.org/10.1149/1.1707029
  20. S. L. Ko, J. Y. Lin, Y. Y. Wang and C. C. Wan, "Effect of the Molecular Weight of Polyethylene Glycol as Single Additive in Copper Deposition for Interconnect Metallization", Thin Solid Films, 516, 5046 (2008). https://doi.org/10.1016/j.tsf.2008.02.040
  21. W. P. Dow, M. Y. Yen, W. B. Lin and S. W. Ho, "Influence of Molecular Weight of Polyethylene Glycol on Microvia Filling by Copper Electroplating", J. Electrochem. Soc., 152(11), C769 (2005). https://doi.org/10.1149/1.2052019
  22. S .C. Hong, D. H. Jung, J. P. Jung and W. J. Kim, "Effective Cu Filling Method to TSV for 3-Dimensional Si Chip Stacking( in Kor.)", J. Kor. Inst. Met. & Mater, In Press. https://doi.org/10.3365/KJMM.2012.50.2.152
  23. S. J. Kim, C. W. Joo, S. S. Park, G. H. Baek, S. G. Lee and M. G. Song, "Via-size Dependance of Solder Bump Formation( in Kor.)", J. Microelectron. Packag. Soc., 8(1), 33 (2001).
  24. J. H. Jun, W. G Lee, J. P. Jung and Y. N. Zhou, "Characteristics of Electroplated Sn Bumps Fabricated without a PR Mould on a Si Chip for 3D Packaging", Microelectron. Eng., In Press. https://doi.org/10.1016/j.mee.2011.10.020

피인용 문헌

  1. Three-Dimesnional Semicondoctor Stacking using TSV(Through-Si-Via) Technology vol.39, pp.3, 2011, https://doi.org/10.5781/jwj.2021.39.3.8
  2. A Review on the Fabrication and Reliability of Three-Dimensional Integration Technologies for Microelectronic Packaging: Through-Si-via and Solder Bumping Process vol.11, pp.10, 2021, https://doi.org/10.3390/met11101664