An Efficient Test Data Compression/Decompression for Low Power Testing

저전력 테스트를 고려한 효율적인 테스트 데이터 압축 방법

  • Chun Sunghoon (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University) ;
  • Im Jung-Bin (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University) ;
  • Kim Gun-Bae (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University) ;
  • An Jin-Ho (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University) ;
  • Kang Sungho (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
  • 전성훈 (연세대학교 전기전자공학과) ;
  • 임정빈 (연세대학교 전기전자공학과) ;
  • 김근배 (연세대학교 전기전자공학과) ;
  • 안진호 (연세대학교 전기전자공학과) ;
  • 강성호 (연세대학교 전기전자공학과)
  • Published : 2005.02.01

Abstract

Test data volume and power consumption for scan vectors are two major problems in system-on-a-chip testing. Therefore, this paper proposes a new test data compression/decompression method for low power testing. The method is based on analyzing the factors that influence test parameters: compression ratio, power reduction and hardware overhead. To improve the compression ratio and the power reduction ratio, the proposed method is based on Modified Statistical Coding (MSC), Input Reduction (IR) scheme and the algorithms of reordering scan flip-flops and reordering test pattern sequence in a preprocessing step. Unlike previous approaches using the CSR architecture, the proposed method is to compress original test data, not $T_{diff}$, and decompress the compressed test data without the CSR architecture. Therefore, the proposed method leads to better compression ratio with lower hardware overhead and lower power consumption than previous works. An experimental comparison on ISCAS '89 benchmark circuits validates the proposed method.

스캔 테스트를 위한 테스트 데이터의 양과 파워 소모는 SoC 테스트에서의 최근의 직면한 가장 큰 문제들이다. 따라서 본 논문에서는 저전력 테스트를 고려한 새로운 테스트 데이터 압축 방법을 제안한다. 제안하는 압축 방법은 테스트 데이터 압축을 위해 압축율, 전력 소모 감소율과 하드웨어 오버헤드를 고려하여 최대 효율을 가지도록 하는데 기초하고 있다. 압축율과 전력 감소율을 높이기 위해서 본 논문에서는 IR (Input Reduction) 기법과 MSCIR (Modified Statistical Code using Input Reduction) 압축 코드을 사용하며, 뿐만아니라 이를 위한 사전 작업인 새로운 스캔 플립플롭 순서 재조합 기법 및 테스트 패턴 순서 재조합 방법을 제안한다. 기존의 연구와는 달리 CSR 구조를 사용하지 않고 원래의 테스트 데이터 $T_D$를 사용하여 압축하는 방법을 사용한다. 이렇게 함으로써 제안하는 압축 방법은 기존의 연구에 비해 훨씬 높은 압축율을 가지며 낮은 하드웨어 오버헤드의 디컴프레션 구조와 적은 전력 소모를 가진다. ISCAS '89 벤치 회로에 대찬 기존의 연구와의 비교로서 그 결과를 알 수 있다.

Keywords

References

  1. Y. Zorian, S. Dey, and M. J. Rodgers, 'Test of Future System on Chips,' In Proceedings International Conference on Computer Aided Design, pp. 392 - 400, 2000 https://doi.org/10.1109/ICCAD.2000.896504
  2. Y. Zorian, 'A distributed BIST control scheme for complex VLSI devices,' In Proceedings VLSI Test Symposium, pp. 4-9, 1993 https://doi.org/10.1109/VTEST.1993.313316
  3. T. -L. Chou, K. Roy and S. Prasad, 'Estimation of circuit activity considering signal correlation and simultaneous switching,' In Proceeding IEEE International Conference of Computer-Aided Design, pp. 300-303, 1994
  4. R. M. Chou, K. K. Saluja and V. D. Agarwal, 'Scheduling tests for VLSI systems under power constraints,' IEEE Transactions on VLSI Systems, vol. 15, pp. 175-185, 1997 https://doi.org/10.1109/92.585217
  5. S. Wang and S. K. Gupta, 'LT-RTPG: A new test-per-scan BIST TPG for low heat dissipation,' In Proceedings International Test Conference, pp.85-94, 1999 https://doi.org/10.1109/TEST.1999.805617
  6. P. Girard, L. Guiller, C. Landrault and S. Pravossoudovitch, 'A test vector inhibiting technique for low energy BIST design,' In Proceedings IEEE VLSI Test Symposium, pp.407-412, 1999 https://doi.org/10.1109/VTEST.1999.766696
  7. F. Corno, M. Rebaudengo and M. S. Reorda, 'Low power BIST via nonlinear hybrid cellular automata,' In Proceedings IEEE VLSI Test Symposium, pp. 29-34, 2000
  8. S. Wang and S. K. Gupta, 'ATPG for heat dissipation minimization during scan testing,' In Proceedings Design Automation Conference, pp. 614-619, 1997 https://doi.org/10.1145/266021.266298
  9. V. Dabholkar, S. Chakravarty, I. Pomeranz and S. M. Reddy, 'Techniques for minimizing power dissipation in scan and combinational circuits during test application,' IEEE Transaction on Computer-Aided Design, vol. 17, pp. 1325-1333, 1998 https://doi.org/10.1109/43.736572
  10. R. Sankaralingam, R. R. Oruganti and N. A. Touba, 'Static compaction techniques to control scan vector power dissipation,' In Proceedings IEEE VLSI Test Symposium, pp. 35-40, 2000 https://doi.org/10.1109/VTEST.2000.843824
  11. I. Hamzaoglu and J. H. Patel, 'Test set compaction algorithms for combinational circuits,' In Proceedings International Conference on Computer Aided Design, pp. 283-289, 1998 https://doi.org/10.1145/288548.288615
  12. I. Pormeranz, L. Reddy, and S. Reddy, 'Compactest: A method to generate compact test set for combinational circuits,' IEEE Transactions on Computer Aided Design, Vol. 12, pp. 1040-1049, 1993 https://doi.org/10.1109/43.238040
  13. M. Ishida, D. S. Ha, and T. Yamaguchi, 'Compact: A hybrid method for compressing test data,' In Proceedings IEEE VLSI Test Symposium, pp. 62 - 69, 1998 https://doi.org/10.1109/VTEST.1998.670850
  14. A. Chandra and K. Chakrabarty, 'Frequency-Directed Run-Length(FDR) Codes with Application to System on a Chip Test Data Compression,' In Proceedings IEEE VLSI Test Symposium, pp. 114 - 121, 2001 https://doi.org/10.1109/VTS.2001.923416
  15. A. Chandra and K. Chakrabarty, ' System-on-a-Chip Test Data Compression and Decompression Architectures Based on Golomb Codes,' IEEE Transactions on Computer Aided Design, Vol. 20, pp. 113 - 120, 2001
  16. A. El-Maleh, S. al Zahir, and E. Khan, 'A Geometric Primitives Based Compression Scheme for Tesing System-on-Chip,' In Proceedings for IEEE VLSI Test Symposium, pp. 114 - 121, 2001
  17. V. Iyengar, K. Chakrabarty and B. Murray, 'Deterministic Built In Pattern Generation for Sequential Circuits,' Journal of Electronics Testing : Theory and Applications, Vol. 15, pp. 97 - 114, 1999 https://doi.org/10.1023/A:1008384201996
  18. A. Jas, J. Ghosh-Dastidar, and N. A. Touba, 'Scan Vector Compression/Decompression Using Statical Coding,' In Proceedings IEEE VLSI Test Symposium, pp. 114 - 121, 1999 https://doi.org/10.1109/VTEST.1999.766654
  19. A. Jas and N. Touba, 'Test Vector Decompression Via Cyclical Scan Chains and Its Application to Testing Core Based Designs,' In Proceedings IEEE International Test Conference, pp. 458 - 464, 1998 https://doi.org/10.1109/TEST.1998.743186
  20. A. Jas and N. Touba, 'Using Embedded Processor for Efficient Deterministic Testing of System-on-Chip,' In Proceedings International Conference on Computer Design, pp. 418 - 423, 1999 https://doi.org/10.1109/ICCD.1999.808576
  21. P. Y. Gonciari, B. M. Al-Hashimi, and N. Nicolici, 'Improving Compression Ratio, Area Overhead, and Test Application Time for System-on-a-Chip Test Data Compression/Decompression,' In Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp. 604-611., 2002 https://doi.org/10.1109/DATE.2002.998363
  22. A. Chandra and K. Chakrabarty, 'Low-power scan testing and test data compression for System-on-a-Chip,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, pp.597-604, 2002 https://doi.org/10.1109/43.998630
  23. C. A. Chen and S. K. Gupta, 'Efficient BIST TPG Design and Test Set Compaction via Input Reduction,' IEEE Transactions on Computer Aided Design of Integrated Circuit and Systems, Vol. 17, pp., 1998 https://doi.org/10.1109/43.712101
  24. D. Heidel, S. Dhong, P. Hofstee, M. Immediato, K. Nowka, J. Silaberman, and K. Stawiasz, 'High-speed Serialiazing/Deserializing Design-for-Test Methods for Evaluating a 1 GHz Microprocessor,' In Proceedings IEEE VLSI Test Symposium, pp. 234 - 238, 1998