Browse > Article

An Efficient Test Data Compression/Decompression for Low Power Testing  

Chun Sunghoon (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
Im Jung-Bin (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
Kim Gun-Bae (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
An Jin-Ho (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
Kang Sungho (Department of Electrical and Electronic Engineering, Graduate School, Yonsei University)
Publication Information
Abstract
Test data volume and power consumption for scan vectors are two major problems in system-on-a-chip testing. Therefore, this paper proposes a new test data compression/decompression method for low power testing. The method is based on analyzing the factors that influence test parameters: compression ratio, power reduction and hardware overhead. To improve the compression ratio and the power reduction ratio, the proposed method is based on Modified Statistical Coding (MSC), Input Reduction (IR) scheme and the algorithms of reordering scan flip-flops and reordering test pattern sequence in a preprocessing step. Unlike previous approaches using the CSR architecture, the proposed method is to compress original test data, not $T_{diff}$, and decompress the compressed test data without the CSR architecture. Therefore, the proposed method leads to better compression ratio with lower hardware overhead and lower power consumption than previous works. An experimental comparison on ISCAS '89 benchmark circuits validates the proposed method.
Keywords
Test Data Compression; Data Decompression; Low power test; Input Reduction; Scan Flip-flop Reordering;
Citations & Related Records
연도 인용수 순위
  • Reference
1 V. Dabholkar, S. Chakravarty, I. Pomeranz and S. M. Reddy, 'Techniques for minimizing power dissipation in scan and combinational circuits during test application,' IEEE Transaction on Computer-Aided Design, vol. 17, pp. 1325-1333, 1998   DOI   ScienceOn
2 P. Girard, L. Guiller, C. Landrault and S. Pravossoudovitch, 'A test vector inhibiting technique for low energy BIST design,' In Proceedings IEEE VLSI Test Symposium, pp.407-412, 1999   DOI
3 F. Corno, M. Rebaudengo and M. S. Reorda, 'Low power BIST via nonlinear hybrid cellular automata,' In Proceedings IEEE VLSI Test Symposium, pp. 29-34, 2000
4 R. M. Chou, K. K. Saluja and V. D. Agarwal, 'Scheduling tests for VLSI systems under power constraints,' IEEE Transactions on VLSI Systems, vol. 15, pp. 175-185, 1997   DOI   ScienceOn
5 S. Wang and S. K. Gupta, 'LT-RTPG: A new test-per-scan BIST TPG for low heat dissipation,' In Proceedings International Test Conference, pp.85-94, 1999   DOI
6 Y. Zorian, 'A distributed BIST control scheme for complex VLSI devices,' In Proceedings VLSI Test Symposium, pp. 4-9, 1993   DOI
7 T. -L. Chou, K. Roy and S. Prasad, 'Estimation of circuit activity considering signal correlation and simultaneous switching,' In Proceeding IEEE International Conference of Computer-Aided Design, pp. 300-303, 1994
8 A. Chandra and K. Chakrabarty, 'Low-power scan testing and test data compression for System-on-a-Chip,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, pp.597-604, 2002   DOI   ScienceOn
9 Y. Zorian, S. Dey, and M. J. Rodgers, 'Test of Future System on Chips,' In Proceedings International Conference on Computer Aided Design, pp. 392 - 400, 2000   DOI
10 D. Heidel, S. Dhong, P. Hofstee, M. Immediato, K. Nowka, J. Silaberman, and K. Stawiasz, 'High-speed Serialiazing/Deserializing Design-for-Test Methods for Evaluating a 1 GHz Microprocessor,' In Proceedings IEEE VLSI Test Symposium, pp. 234 - 238, 1998
11 P. Y. Gonciari, B. M. Al-Hashimi, and N. Nicolici, 'Improving Compression Ratio, Area Overhead, and Test Application Time for System-on-a-Chip Test Data Compression/Decompression,' In Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp. 604-611., 2002   DOI
12 C. A. Chen and S. K. Gupta, 'Efficient BIST TPG Design and Test Set Compaction via Input Reduction,' IEEE Transactions on Computer Aided Design of Integrated Circuit and Systems, Vol. 17, pp., 1998   DOI   ScienceOn
13 A. Jas and N. Touba, 'Test Vector Decompression Via Cyclical Scan Chains and Its Application to Testing Core Based Designs,' In Proceedings IEEE International Test Conference, pp. 458 - 464, 1998   DOI
14 A. Jas and N. Touba, 'Using Embedded Processor for Efficient Deterministic Testing of System-on-Chip,' In Proceedings International Conference on Computer Design, pp. 418 - 423, 1999   DOI
15 A. El-Maleh, S. al Zahir, and E. Khan, 'A Geometric Primitives Based Compression Scheme for Tesing System-on-Chip,' In Proceedings for IEEE VLSI Test Symposium, pp. 114 - 121, 2001
16 V. Iyengar, K. Chakrabarty and B. Murray, 'Deterministic Built In Pattern Generation for Sequential Circuits,' Journal of Electronics Testing : Theory and Applications, Vol. 15, pp. 97 - 114, 1999   DOI   ScienceOn
17 A. Jas, J. Ghosh-Dastidar, and N. A. Touba, 'Scan Vector Compression/Decompression Using Statical Coding,' In Proceedings IEEE VLSI Test Symposium, pp. 114 - 121, 1999   DOI
18 A. Chandra and K. Chakrabarty, ' System-on-a-Chip Test Data Compression and Decompression Architectures Based on Golomb Codes,' IEEE Transactions on Computer Aided Design, Vol. 20, pp. 113 - 120, 2001
19 M. Ishida, D. S. Ha, and T. Yamaguchi, 'Compact: A hybrid method for compressing test data,' In Proceedings IEEE VLSI Test Symposium, pp. 62 - 69, 1998   DOI
20 A. Chandra and K. Chakrabarty, 'Frequency-Directed Run-Length(FDR) Codes with Application to System on a Chip Test Data Compression,' In Proceedings IEEE VLSI Test Symposium, pp. 114 - 121, 2001   DOI
21 S. Wang and S. K. Gupta, 'ATPG for heat dissipation minimization during scan testing,' In Proceedings Design Automation Conference, pp. 614-619, 1997   DOI
22 R. Sankaralingam, R. R. Oruganti and N. A. Touba, 'Static compaction techniques to control scan vector power dissipation,' In Proceedings IEEE VLSI Test Symposium, pp. 35-40, 2000   DOI
23 I. Hamzaoglu and J. H. Patel, 'Test set compaction algorithms for combinational circuits,' In Proceedings International Conference on Computer Aided Design, pp. 283-289, 1998   DOI
24 I. Pormeranz, L. Reddy, and S. Reddy, 'Compactest: A method to generate compact test set for combinational circuits,' IEEE Transactions on Computer Aided Design, Vol. 12, pp. 1040-1049, 1993   DOI   ScienceOn