IR 기법을 이용한 효율적인 테스트 데이터 압축 방법

An Efficient Test Data Compression/Decompression Using Input Reduction

  • 전성훈 (연세대학교 전기전자공학과) ;
  • 임정빈 (연세대학교 전기전자공학과) ;
  • 김근배 (연세대학교 전기전자공학과) ;
  • 안진호 (연세대학교 전기전자공학과) ;
  • 강성호 (연세대학교 전기전자공학과)
  • 발행 : 2004.11.01

초록

본 논문에서는 SoC 테스트를 위한 새로운 테스트 데이터 압축 방법을 제안한다. 제안하는 압축 방법은 테스트 데이터 압축을 위해 압축율과 하드웨어 오버헤드를 고려하여 최대 효율을 가지도록 하는데 기초하고 있다. 압축율을 높이기 위해서 본 논문에서는 IR 기법과 MSCIR 압축 코드를 사용하며, 뿐만아니라 이를 위한 사전 작업인 새로운 맵핑 기법 및 테스트 패턴순서 재조합 방법을 제안한다. 기존의 연구와는 달리 CSR 구조를 사용하지 않고 원래의 테스트 데이터를 사용하여 압축하는 방법을 사용한다. 이렇게 함으로써 제안하는 압축 방법은 기존의 연구에 비해 훨씬 높은 압축율을 가지며 낮은 하드웨어 오버헤드의 디컴프레션 구조를 가진다. ISCAS '89 벤치 회로에 대한 기존의 연구와의 비교로서 그 결과를 알 수 있다.

This paper proposes a new test data compression/decompression method for SoC(Systems-on-a-Chip). The method is based on analyzing the factors that influence test parameters: compression ratio and hardware overhead. To improve compression ratio, the proposed method is based on Modified Statistical Coding (MSC) and Input Reduction (IR) scheme, as well as a novel mapping and reordering algorithm proposed in a preprocessing step. Unlike previous approaches using the CSR architecture, the proposed method is to compress original test data and decompress the compressed test data without the CSR architecture. Therefore, the proposed method leads to better compression ratio with lower hardware overhead than previous works. An experimental comparison on ISCAS '89 benchmark circuits validates the proposed method.

키워드

참고문헌

  1. Y. Zorian, S. Dey, and M. J. Rodgers, 'Test of Future System on Chips,' In Proceedings International Conference on Computer Aided Design, pp. 392 - 400, 2000 https://doi.org/10.1109/ICCAD.2000.896504
  2. The International Technology Roadmap for Semiconductors, 1999 Edition, ITRS
  3. I. Hamzaoglu and J. H. Patel, 'Test set compaction algorithms for combinational circuits,' In Proceedings International Conference on Computer Aided Design, pp. 283-289, 1998 https://doi.org/10.1145/288548.288615
  4. I. Pormeranz, L. Reddy, and S. Reddy, 'Compactest: A method to generate compact test set for combinational circuits,' IEEE Transactions on Computer Aided Design, Vol. 12, pp. 1040-1049, 1993 https://doi.org/10.1109/43.238040
  5. M. Ishida, D. S. Ha, and T. Yamaguchi, 'Compact: A hybrid method for compressing test data,' In Proceedings IEEE VLSI Test Symposium, pp. 62 - 69, 1998 https://doi.org/10.1109/VTEST.1998.670850
  6. A. Chandra and K Chakrabarty, 'Frequency-Directed Run-Length (FDR) Codes with Application to System on a Chip Test Data Compression,' In Proceedings IEEE VLSI Test Symposium, pp. 114 - 121, 2001 https://doi.org/10.1109/VTS.2001.923416
  7. A. Chandra and K Chakrabarty, 'System-on-a-Oiip Test Data Compression and Decompression Architectures Based on Golomb Codes,' IEEE Transactions on Computer Aided Design, Vol. 20, pp. 113 - 120, 2001 https://doi.org/10.1109/43.913754
  8. A. El-Maleh, S. al Zahir, and E. Khan, 'A Geometric Primitives Based Compression Scheme for Tesing System-on-Chip,' In Proceedings for IEEE VLSI Test Symposium, pp. 114 - 121, 2001 https://doi.org/10.1109/VTS.2001.923418
  9. V. Iyengar, K. Chakrabarty and B. Murray, 'Deterministic Built In Pattern Generation for Sequential Circuits,' Journal of Electronics Testing: Theory and Applications, Vol. 15, pp. 97 - 114, 1999 https://doi.org/10.1023/A:1008384201996
  10. A. Jas, J. Ghosh-Dastidar, and N. A. Touba, 'Scan Vector Compression/Decompression Using Statistical Coding,' In Proceedings IEEE VLSI Test Symposium, pp. 114 - 121, 1999 https://doi.org/10.1109/VTEST.1999.766654
  11. A. Jas and N. Touba, 'Test Vector Decompression Via Cyclical Scan Chains and Its Application to Testing Core Based Designs,' In Proceedings IEEE International Test Conference, pp. 458 - 464, 1998 https://doi.org/10.1109/TEST.1998.743186
  12. A. Jas and N. Touba, 'Using Embedded Processor for Efficient Deterministic Testing of System-on-Chip,' In Proceedings International Conference on Computer Design, pp. 418 - 423, 1999 https://doi.org/10.1109/ICCD.1999.808576
  13. P. Y. Gonciari, B. M AI-Hashimi, and N. Nicolici, 'Improving Compression Ratio, Area Overhead, and Test Application Time for System-on-a-Chip Test Data Compression/Decompression,' In Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp. 604 - 611, 2002 https://doi.org/10.1109/DATE.2002.998363
  14. C. A. Chen and S. K Gupta, 'Efficient BIST TPG Design and Test Set Compaction via Input Reduction,' IEEE Transactions on Computer Aided Design of Integrated Circuit and Systems, Vol. 17, pp. 692 - 705, 1998 https://doi.org/10.1109/43.712101
  15. H. K. Lee and D. S. Ha, 'On the Generation of Test Patterns for COmbinational Circuits,' Tech. report no.12_93, Department of Electrical Engineering, Virginia Tech
  16. D. Heidel, S. Dhong, P. Hofstee, M. Immediato, K. Nowka, J. Silaberman, and K. Stawiasz, 'High-speed Serialiazing/Deserializing Design for Test Methods for Evaluating a 1 GHz Microprocessor,' In Proceedings IEEE VLSI Test Symposium, pp. 234 - 238, 1998 https://doi.org/10.1109/VTEST.1998.670873