Measurement and Analysis of Power Dissipation of Value Speculation in Superscalar Processors

슈퍼스칼라 프로세서에서 값 예측을 이용한 모험적 실행의 전력소모 측정 및 분석

  • 이상정 (순천향대학교 정보기술공학부) ;
  • 이명근 (㈜다이알로직코리아 기술연구소) ;
  • 신화정 (한양여자대학 전산정보계열)
  • Published : 2003.12.01

Abstract

In recent high-performance superscalar processors, the result value of an instruction is predicted to improve instruction-level parallelism by breaking data dependencies. Using those predicted values, instructions are speculatively executed and substantial performance can be gained. It, however, requires additional power consumption due to the frequent access and update of the value prediction table. In this paper, first, the trade-off between the performance improvement and the increased power consumption for value prediction is measured and analyzed. And, in order to reduce additional power consumption without performance loss, the technique of controlling speculative execution with confidence counter and predicting useful instructions is developed. Also, in order to prove the validity, a tool is developed that can simulate processor behavior at cycle-level and measure total energy consumption and power consumption per cycle.

최근의 고성능 슈퍼스칼라 프로세서에서는 명령어 수준 병렬성(Instruction-Level Parallelism, ILP)의 장애가 되는 명령어 간의 데이타 종속관계를 극복하기 위해 명령의 결과 값을 미리 예측하여 종속 명령들을 모험적으로 실행한다. 이러한 값 예측을 사용한 모험적 실행으로 성능은 향상되나 값 예측 테이블의 빈번한 참조와 갱신으로 부가적인 전력 소모를 요구한다. 본 논문에서는 값 예측으로 인한 성능향상과 부가적인 전력소모 간의 관계를 측정 분석한다. 또한 확신 카운터(confidence counter)를 사용한 값 예측 시도의 조정으로 모험적 실행의 정도를 조절하고, 예측 성공률이 높은 유용한 명령들만을 선택적으로 예측하여 성능을 유지하면서 부가 전력소모를 줄인다. 제안된 방식의 검증을 위해 사이클 수준 시뮬레이터에 전력소모 모델을 결합하여 프로세서의 기능수준 동작뿐만 아니라 프로세서의 전체 전력소모 및 사이클 당 전력소모도 측정할 수 있는 도구를 개발하여 검증한다.

Keywords

References

  1. D.Grunwald,A.Klauser,S.Manne and A. Pleszkun, 'Confidence Estimation for Speculation Control,' Proceedings of the 25th International Symposium on Computer Architecture (ISCA-25), June 1998 https://doi.org/10.1109/ISCA.1998.694768
  2. S.McFarling, 'Combining Branch Predictors,' Technical Report TN-36, Digital Western Research Laboratory, June 1993
  3. T.Yeh and Y.Patt, 'Two-level Adaptive Branch Prediction,' Proceedings of the 24th International Symposium Microarchitecture(MICRO-24), Nov. 1991
  4. R.Bhargava and L.John, 'Latency and Energy Aware Value Prediction for High-Frequency Processors,' Proceddings of 16th ACM International Conference on Supercomputing, pp. 45-56, June, 2002 https://doi.org/10.1145/514191.514201
  5. B.Calder, G.Reinman and D.Tullsen, 'Selective Value Prediction,' Proceedings of the 26th International Symposium on Computer Architecture(ISCA-26), May 1999
  6. Sang-Jeong Lee and Pen-Chung Yew, 'On Table Bandwidth and Its Update Delay for Value Prediction on Wide-Issue ILP Processors,' IEEE Transaction on Computers, Vol.50 No.8, p.847-852, Aug. 2001 https://doi.org/10.1109/12.947012
  7. Sang-Jeong Lee and Pen-Chung Yew, 'On Augmenting Trace Cache for High-Bandwidth Value Prediction,' IEEE Transaction on Computers, Vol.51, No.9, p.1074-1088, Sept. 2002 https://doi.org/10.1109/TC.2002.1032626
  8. M.Lipasti and J.Shen, 'Exceeding the Limit via Value Prediction,' Proceedings of the 29th International Symposium on Microarchitecture(MICRO29), Dec. 1996 https://doi.org/10.1109/MICRO.1996.566464
  9. B.Rychlik, j.Faistl, B.Krug, and LShen, 'Efficacy and Performance Impact of Value Prediction,' Parallel Architectures and Compilation Techniques (PACT98), Paris, Oct. 1998 https://doi.org/10.1109/PACT.1998.727186
  10. Y.Sazeides and J.Smith, 'The Predictability of Data Values,' Proceedings of the 30th International Symposium on Microarchitecture(MICRO30), Dec. 1997 https://doi.org/10.1109/MICRO.1997.645815
  11. K.Wang and M.Franklin, 'Highly Accurate Data Value Predictions using Hybrid Predictor,' Proceedings of the 30th International Symposium on Microarchitecture(MICRO-30), Dec. 1997 https://doi.org/10.1109/MICRO.1997.645819
  12. M.Borah, R.Owens and M.Irwin, 'Transistor sizing for low power CMOS Circuits,' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 15 No.6, 1996 https://doi.org/10.1109/43.503935
  13. G. Cai, 'Architectural Level Power/Performance Optimization and Dynamic Power Estimation,' Proceedings of the CoolChips Tutorial, An Industrial Perspective on Low Power Processor Design in conjunction with the 32th Annual International Symposium on Microarchitecture (MICRO-32), 1999
  14. C.Leung, D.Brooks, M. Martonosi, and D.Clark, 'Power-Aware Architecture Studies: Ongoing Work at Princeton,' Proceedings of Power-Driven Microprocessor Design Workshop, June 199
  15. S. Ghiasi and D. Grunwald, 'A Comparison of Two Architectural Power Models,' Proceedings of the Workshop on Power-Aware Computer Systems in conjunction with ASPLOS-rx, Nov., 2000
  16. S.Manne,A.Klauser and D.Grunwald, 'Pipeline Gating: Speculation Control for Energy Reduction,' Proceedings of the 25th International. Symposium on Computer Architecture(ISCA-25), June 1998 https://doi.org/10.1145/279358.279377
  17. D.Friendly, S.Patel, and Y.Patt, 'Putting the Fill Unit to Work : Dynamic Optimizations for Trace Cache Microprocessors,' Proceedings of the 31st International Symposium on Microarchitecture (MICRO-31), Dec 1998 https://doi.org/10.1109/MICRO.1998.742779
  18. E.Rotenburg, S.Bennett, and J.Smith, 'A Trace Cache Microarchitecture and Evaluation,' IEEE Transaction on Computers, Vol.48 No.2, Feb. 1999 https://doi.org/10.1109/12.752652
  19. D.Burger and T.Austin, The SimpleScalar Tool Set, Version 2.0, Technical Report CS-TR-971342, University of Wisconsin, Madison, June 1997
  20. A.KleinOsowski, J.Flynn, N.Meares, and D.Lilja, 'Adapting the SPEC 2000 Benchmark Suite for Simulation-Based Computer Architecture Research,' Workshop on Workload Characterization held in conjunction with International Conference on Computer Design, Sept., 2000