• Title/Summary/Keyword: test data compression

Search Result 413, Processing Time 0.023 seconds

Experimental study on partially-reinforced steel RHS compression members

  • Pinarbasi, Seval
    • Structural Engineering and Mechanics
    • /
    • v.63 no.3
    • /
    • pp.385-400
    • /
    • 2017
  • This paper presents an experimental study on the behavior of axially-loaded steel RHS (rectangular hollow section) compression members that are partially reinforced along their lengths with welded steel plates. 28 slender column tests were carried out to investigate the effects of the slenderness ratio of the unreinforced member and the ratio of the reinforced length of the member to its entire length. In addition to the slender column tests, 14 stub-column tests were conducted to determine the basic mechanical properties of the test specimens under uniform compression. Test results show that both the compressive strength and stiffness of an RHS member can be increased significantly compared to its unreinforced counterpart even when only the central quarter of the member is reinforced. Based on the limited test data, it can be concluded that partial reinforcement is, in general, more effective in members with larger slenderness ratios. A simple design expression is also proposed to predict the compressive strength of RHS columns partially reinforced along their length with welded steel plates by modifying the provisions of AISC 360-10 to account for the partial reinforcement.

Theoretical and experimental investigation of piezoresistivity of brass fiber reinforced concrete

  • Mugisha, Aurore;Teomete, Egemen
    • Computers and Concrete
    • /
    • v.23 no.6
    • /
    • pp.399-408
    • /
    • 2019
  • Structural health monitoring is important for the safety of lives and asset management. In this study, numerical models were developed for the piezoresistive behavior of smart concrete based on finite element (FE) method. Finite element models were calibrated with experimental data collected from compression test. The compression test was performed on smart concrete cube specimens with 75 mm dimensions. Smart concrete was made of cement CEM II 42.5 R, silica fume, fine and coarse crushed limestone aggregates, brass fibers and plasticizer. During the compression test, electrical resistance change and compressive strain measurements were conducted simultaneously. Smart concrete had a strong linear relationship between strain and electrical resistance change due to its piezoresistive function. The piezoresistivity of the smart concrete was modeled by FE method. Twenty-noded solid brick elements were used to model the smart concrete specimens in the finite element platform of Ansys. The numerical results were determined for strain induced resistivity change. The electrical resistivity of simulated smart concrete decreased with applied strain, as found in experimental investigation. The numerical findings are in good agreement with the experimental results.

Consolidation Behavior of Soft Ground by prefabricated Vertical Drains (연직드레인 공법에 의한 연약지반의 압밀거동)

  • 이달원
    • Magazine of the Korean Society of Agricultural Engineers
    • /
    • v.42 no.5
    • /
    • pp.133-143
    • /
    • 2000
  • A large scale field test of prefabricated vertical drains was performed to anayze the effect of parameters of the very soft clay at a test site. compression index and the coefficient of horizontal consolidation obtained by back-analysis of settlement data were compared with those obtained by means of laboratory tests. Hyperbolic method, Asaoka meoth and curve fitting method were used to compute final settlement of coefficient of consolidation. The relationships of settlement measurement(Sm) versus design settlement(St) and the measurement consolidation ratio(Um) versus design consolidation (Ut) were shown as Sm=(1.0~1.1) St , Um=(1.13~1.17) Ut at 1.0m spacing of drain and Sm=(0.7~0.8)St, Um= (0.92~0.99) Ut at 1.5 m spacing of drain, respectively . The relationships of the field compression index(CcField) and virgin compression index(vcc lab) were shown as Ccfield =(1.0~1.2)vcc lab . But it was nearly within the same range when considering the error factor with the determination method of virgin compression index and the prediction back-analysis of the settlement data was larger than the coefficient of vertical consolidation, and the ratio of consolidation coefficient (Ch/Cv) was Ch =(2.4~2.9) Cv , Ch=(3.4~4.2) Cv at 1.0m and 1.5m spacing of drain, respectively.

  • PDF

Flexure-Compression Characteristics of GFRP Composite Pile (콘크리트 합성 GFRP 복합소재 파일의 휨-압축특성)

  • 이성우;손기훈;조남훈
    • Proceedings of the Computational Structural Engineering Institute Conference
    • /
    • 2001.10a
    • /
    • pp.127-134
    • /
    • 2001
  • In this paper flexure-compression characteristics of concrete filled glass fiber reinforced composite pile was studied. Confinement model of composite pile was derived from experimental data. Also numerical method to find P-M diagram of composite pile was developed. The flexure-compression test results were compared with analytical P-M diagram and it is demonstrated that they agree well each other. Utilizing these results, pilot composite pile was designed and fabricated.

  • PDF

Reduction of Test Data and Power in Scan Testing for Digital Circuits using the Code-based Technique (코드 기반 기법을 이용한 디지털 회로의 스캔 테스트 데이터와 전력단축)

  • Hur, Yong-Min;Shin, Jae-Heung
    • 전자공학회논문지 IE
    • /
    • v.45 no.3
    • /
    • pp.5-12
    • /
    • 2008
  • We propose efficient scan testing method capable of reducing the test data and power dissipation for digital logic circuits. The proposed testing method is based on a hybrid run-length encoding which reduces test data storage on the tester. We also introduce modified Bus-invert coding method and scan cell design in scan cell reordering, thus providing increased power saving in scan in operation. Experimental results for ISCAS'89 benchmark circuits show that average power of 96.7% and peak power of 84% are reduced on the average without fault coverage degrading. We have obtained a high reduction of 78.2% on the test data compared the existing compression methods.

Effect of grain crushing on 1D compression and 1D creep behavior of sand at high stresses

  • Wang, Z.;Wong, R.C.K.
    • Geomechanics and Engineering
    • /
    • v.2 no.4
    • /
    • pp.303-319
    • /
    • 2010
  • The effect of grain crushing on the deformation of sand in 1D compression and 1D creep at high stresses was investigated theoretically and experimentally. An approach was proposed to formulate the process of grain crushing in sand in accordance with the laws of fracture mechanics and energy conservation. With this approach, the relation between the void ratio and the amount of grains crushed in 1D compression was derived. Laboratory test data were used to verify this derived relation. In addition, it was observed that there are similarities in evolution of grain size distribution in 1D compression and 1D creep tests. This implies that the changes in microstructure in sand under 1D compression and 1D creep are comparable.

Compression Methods for Time Series Data using Discrete Cosine Transform with Varying Sample Size (가변 샘플 크기의 이산 코사인 변환을 활용한 시계열 데이터 압축 기법)

  • Moon, Byeongsun;Choi, Myungwhan
    • KIISE Transactions on Computing Practices
    • /
    • v.22 no.5
    • /
    • pp.201-208
    • /
    • 2016
  • Collection and storing of multiple time series data in real time requires large memory space. To solve this problem, the usage of varying sample size is proposed in the compression scheme using discrete cosine transform technique. Time series data set has characteristics such that a higher compression ratio can be achieved with smaller amount of value changes and lower frequency of the value changes. The coefficient of variation and the variability of the differences between adjacent data elements (VDAD) are presumed to be very good measures to represent the characteristics of the time series data and used as key parameters to determine the varying sample size. Test results showed that both VDAD-based and the coefficient of variation-based scheme generate excellent compression ratios. However, the former scheme uses much simpler sample size decision mechanism and results in better compression performance than the latter scheme.

Investigation of High Temperature Deformation Behavior in Compression and Torsion of Ti-6Al-4V Alloy (Ti-6Al-4V합금의 비틀림 및 압축변형에 따른 고온변형거동 고찰)

  • Yeom, J.T.;Jung, E.J.;Kim, J.H.;Hong, J.K.;Park, N.K.;Lee, C.S.
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2008.05a
    • /
    • pp.435-438
    • /
    • 2008
  • High temperature deformation of Ti-6Al-4V alloy with a lamellar colony microstructure was investigated by hot compression and torsion tests. The torsion and compression tests were carried out under a wide range of temperatures and strain rates with true strain up to 2 and 0.7, respectively. The processing maps were generated on the basis of compression and torsion test data and using the principles of dynamic materials modeling (DMM). The shapes of the strain-stress curves in alpha-beta region and processing maps obtained on the two different tests have been compared with a view to evaluate the effect of the microstructure evolution on the flow softening behavior of Ti-6Al-4V alloy with a lamellar colony microstructure.

  • PDF

A Study on the Program Development of Fatigue Test (피로시험용 프로그램 개발에 관한 연구)

  • 이종선
    • Proceedings of the Korean Society of Machine Tool Engineers Conference
    • /
    • 1999.10a
    • /
    • pp.275-280
    • /
    • 1999
  • This study is object to program development of fatigue test for universal testing machine. Fatigue program is consist of test simulation, data analysis and print report by control fatigue testing program which expansively applies tension-compression tests with using oil pressure mechanism by Visual Basic software running under windows 98.

  • PDF

Efficient Test Data Compression and Low Power Scan Testing for System-On-a-Chip(SOC) (SOC(System-On-a-Chip)에 있어서 효율적인 테스트 데이터 압축 및 저전력 스캔 테스트)

  • Park Byoung-Soo;Jung Jun-Mo
    • The Journal of the Korea Contents Association
    • /
    • v.5 no.1
    • /
    • pp.229-236
    • /
    • 2005
  • Testing time and power consumption during testing System-On-a-Chip (SOC) are becoming increasingly important as the IP core increases in a SOC. We present a new algorithm to reduce the scan-in power and test data volume using the modified scan latch reordering. We apply scan latch reordering technique for minimizing the hamming distance in scan vectors. Also, during scan latch reordering, the don't care inputs in scan vectors are assigned for low power and high compression. Experimental results for ISCAS 89 benchmark circuits show that reduced test data and low power scan testing can be achieved in all cases.

  • PDF