• Title/Summary/Keyword: poly-Si

Search Result 1,076, Processing Time 0.025 seconds

Schottky barrier Thin-Film-Transistors crystallized by Excimer laser annealing and solid phase crystallization method (ELA 결정화와 SPC 결정화를 이용한 쇼트키 장벽 다결정 실리콘 박막 트랜지스터)

  • Shin, Jin-Wook;Choi, Chel-Jong;Cho, Won-Ju
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.06a
    • /
    • pp.129-130
    • /
    • 2008
  • Polycrystalline silicon (poly-Si) Schottky barrier thin film transistors (SB-TFT) are fabricated by erbium silicided source/drain for n-type SB-TFT. High quality poly-Si film were obtained by crystallizing the amorphous Si film with excimer laser annealing (ELA) or solid phase crystallization (SPC) method. The fabricated poly-Si SB-TFTs have a large on/off current ratio with a low leakage current. Moreover, the electrical characteristics of poly-Si SB TFTs are significantly improved by the additional forming gas annealing in 2 % $H_2/N_2$, because the interface trap states at the poly-Si grain boundaries and at the gate oxide/poly-Si channel decreased.

  • PDF

A Study on the Hot-Carrier Effects of p-Channel Poly-Si TFT s (p-채널 Poly-Si TFT s 소자의 Hot-Carrier 효과에 관한 연구)

  • 진교원;박태성;백희원;이진민;조봉희;김영호
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.11 no.9
    • /
    • pp.683-686
    • /
    • 1998
  • Hot carrier effects as a function of bias stress time and bias stress consitions were syste-matically investigated in p-channel poly-Si TFT s fabricated on the quartz substrate. The device degradation was observed for the negative bias stress, while improvement of electrical characteristic except for subthreshold slope was observed for the positive bias stress. It was found that these results were related to the hot-carrier injection into the gate oxide and interface states at the poly-Si/$SiO_2$interface rather than defects states generation within the poly-Si active layer under bias stress.

  • PDF

Crystal growth of polyctystalline 3C-SiC thin films on AlN buffer layer (AlN 완충층을 이용한 다결정 3C-SiC 박막의 결정성장)

  • Kim, Kang-San;Chung, Gwiy-Sang
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.06a
    • /
    • pp.333-334
    • /
    • 2007
  • This paper describes the characteristics of poly (polycrystalline) 3C-SiC grown on SiOz and AlN substrates, respectively. The crystalline quality of poly 3C-SiC was improved from resulting in decrease of FWHM (full width half maximum) of XRD by increasing the growth temperature. The minimum growth temperature of poly 3C-SiC was $1100^{\circ}C$. The surface chemical composition and the electron mobility of poly 3C-SiC grown on each substrate were investigated by XPS and Hall Effect, respectively. The chemical compositions of surface of poly 3C-SiC films grown on $SiO_2$ and AlN were not different. However, their electron mobilities were $7.65\;cm^2/V.s$ and $14.8\;cm^2/V.s$, respectively. Therefore, since the electron mobility of poly 3C-SiC films grown on AlN buffer layer was two times higher than that of 3C-SiC/$SiO_2$, a AlN film is a suitable material, as buffer layer, for the growth of poly 3C-SiC thin films with excellent properties for M/NEMS applications.

  • PDF

Characteristics of poly-Si TFTs using Excimer Laser Annealing Crystallization and high-k Gate Dielectrics (Excimer Laser Annealing 결정화 방법 및 고유전 게이트 절연막을 사용한 poly-Si TFT의 특성)

  • Lee, Woo-Hyun;Cho, Won-Ju
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.1
    • /
    • pp.1-4
    • /
    • 2008
  • The electrical characteristics of polycrystalline silicon (poly-Si) thin film transistor (TFT) crystallized by excimer laser annealing (ELA) method were evaluated, The polycrystalline silicon thin-film transistor (poly-Si TFT) has higher electric field-effect-mobility and larger drivability than the amorphous silicon TFT. However, to poly-Si TFT's using conventional processes, the temperature must be very high. For this reason, an amorphous silicon film on a buried oxide was crystallized by annealing with a KrF excimer laser (248 nm)to fabricate a poly-Si film at low temperature. Then, High permittivity $HfO_2$ of 20 nm as the gate-insulator was deposited by atomic layer deposition (ALD) to low temperature process. In addition, the solid phase crystallization (SPC) was compared to the ELA method as a crystallization technique of amorphous-silicon film. As a result, the crystallinity and surface roughness of poly-Si crystallized by ELA method was superior to the SPC method. Also, we obtained excellent device characteristics from the Poly-Si TFT fabricated by the ELA crystallization method.

Analysis of resistor matching and poly-Si TFT characteristics for the implementation of System-on-Glass using the existing analog circuits (System-on-Glass를 구현하기 위한 저항 matching 및 poly-Si TFT특성을 기존 아날로그 회로를 이용하여 분석)

  • Kim Dae-June;Lee Kyun-Lyeol;Yoo Changsik
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.42 no.2 s.332
    • /
    • pp.15-22
    • /
    • 2005
  • Using the existing analog circuits, required resistor matching and Poly-Si TFT characteristics are investigated for the implementation of analog circuits to be integrated on System-on-Glass. Matching requirements on resistor values, threshold voltage and mobility of poly-Si TFT are derived as a function of the resolution of display system. Also, the effective mobility of poly-Si TFT required for the realization of source driver is analyzed for various panel sizes.

Effect of Substrate Temperature on Polycrystalline Silicon Film Deposited on Al Layer (Al 박막을 이용한 다결정 Si 박막의 제조에서 기판온도 영향 연구)

  • Ahn, Kyung Min;Kang, Seung Mo;Ahn, Byung Tae
    • 한국신재생에너지학회:학술대회논문집
    • /
    • 2010.06a
    • /
    • pp.96.2-96.2
    • /
    • 2010
  • The surface morphology and structural properties of polycrystalline silicon (poly-Si) films made in-situ aluminum induced crystallization at various substrate temperature (300~600) was investigated. Silicon films were deposited by hot-wire chemical vapor deposition (HWCVD), as the catalytic or pyrolytic decomposition of precursor gases SiH4 occurs only on the surface of the heated wire. Aluminum films were deposited by DC magnetron sputtering at room temperature. continuous poly-Si films were achieved at low temperature. from cross-section TEM analyses, It was confirmed that poly-Si above $450^{\circ}C$ was successfully grown on and poly-Si films had (111) preferred orientation. As substrate temperature increases, Si(111)/Si(220) ratio was decreased. The electrical properties of poly-Si film were investigated by Hall effect measurement. Poly-Si film was p-type by Al and resistivity and hall effect mobility was affected by substrate temperature.

  • PDF

Boron Diffusion of Low Concentration through Poly $Poly{\cdot}Si-SiO_2$ ($Poly{\cdot}Si-SiO_2$를 통한 저농도 붕소확산)

  • Kim, Jung-Hoe;Ju, Byeong-Kwon;Kim, Chul-Ju
    • Journal of the Korean Institute of Telematics and Electronics
    • /
    • v.24 no.2
    • /
    • pp.248-253
    • /
    • 1987
  • Boron diffusion into silicon through poly\ulcorneri-SiO2 was carried out for the diffusion with low concentration using CVD-BN. The result of direct boron diffusion from BN into silicon and that of boron diffusion through SiO2 from BN into silicon was compared with the result of boron diffusion through poly-Si-SiO2 from BN into silicon. In the case of boron diffusion through poly Si-SiO2, the low concentration diffusion was obtained, that is the boron surface concentration in silicon Cs=10**16 Cm**-3, and the glassy compounds were not seen.

  • PDF

Fabrication of Polycrystalline Si Films by Silicide-Enhanced Rapid Thermal Annealing and Their Application to Thin Film Transistors (Silicide-Enhanced Rapid Thermal Annealing을 이용한 다결정 Si 박막의 제조 및 다결정 Si 박막 트랜지스터에의 응용)

  • Kim, Jone Soo;Moon, Sun Hong;Yang, Yong Ho;Kang, Sung Mo;Ahn, Byung Tae
    • Korean Journal of Materials Research
    • /
    • v.24 no.9
    • /
    • pp.443-450
    • /
    • 2014
  • Amorphous (a-Si) films were epitaxially crystallized on a very thin large-grained poly-Si seed layer by a silicide-enhanced rapid thermal annealing (SERTA) process. The poly-Si seed layer contained a small amount of nickel silicide which can enhance crystallization of the upper layer of the a-Si film at lower temperature. A 5-nm thick poly-Si seed layer was then prepared by the crystallization of an a-Si film using the vapor-induced crystallization process in a $NiCl_2$ environment. After removing surface oxide on the seed layer, a 45-nm thick a-Si film was deposited on the poly-Si seed layer by hot-wire chemical vapor deposition at $200^{\circ}C$. The epitaxial crystallization of the top a-Si layer was performed by the rapid thermal annealing (RTA) process at $730^{\circ}C$ for 5 min in Ar as an ambient atmosphere. Considering the needle-like grains as well as the crystallization temperature of the top layer as produced by the SERTA process, it was thought that the top a-Si layer was epitaxially crystallized with the help of $NiSi_2$ precipitates that originated from the poly-Si seed layer. The crystallinity of the SERTA processed poly-Si thin films was better than the other crystallization process, due to the high-temperature RTA process. The Ni concentration in the poly-Si film fabricated by the SERTA process was reduced to $1{\times}10^{18}cm^{-3}$. The maximum field-effect mobility and substrate swing of the p-channel poly-Si thin-film transistors (TFTs) using the poly-Si film prepared by the SERTA process were $85cm^2/V{\cdot}s$ and 1.23 V/decade at $V_{ds}=-3V$, respectively. The off current was little increased under reverse bias from $1.0{\times}10^{-11}$ A. Our results showed that the SERTA process is a promising technology for high quality poly-Si film, which enables the fabrication of high mobility TFTs. In addition, it is expected that poly-Si TFTs with low leakage current can be fabricated with more precise experiments.

A Study of Defects in $Poly-Si/SiO_2$ Thin Films Using Electron Paramagnetic Resonance : Defect Density Changes due to Plasma Hydrogenation Treatment (전자상자성공명을 이용한 $Poly-Si/SiO_2$ 박막의 결함연구 : 플라즈마 수소화처리에 따른 결함밀도의 변화)

  • 노승정;장혁규
    • Journal of the Korean Magnetics Society
    • /
    • v.8 no.6
    • /
    • pp.346-349
    • /
    • 1998
  • In order to reduce to the defect density in poly-Si/SiO$_2$ thin films, where poly-Si is either undoped or doped by BF$_2$ implantation, the poly-Si/SiO$_2$ samples have been hydrogenated by rf plasmas of low temperature. Before hydrogenation, both $P_b$ centers and E centers were observed in the poly-Si(undoped)/SiO$_2$ and in the poly-Si(doped)/SiO$_2$. After 30 min hydrogenation, the $P_b$ center was reduced by 80 % doped sample and by 76 % in the undoped sample and the E center was not observed. After 90min hydrogenation, however, increases of the $P_b$ centers and regenerations of the E center were observed in the undoped sample as well as in the doped one. Compared with the undoped sample, the increase of $P_b$ center in the doped one was more dominant.

  • PDF

Silicon oxide and poly-Si film simultaneously formed by excimer laser (엑시머 레이저를 이용하여 동시에 형성된 실리콘 산화막과 다결정 실리콘 박막)

  • 박철민;민병혁;전재홍;유준석;최홍석;한민구
    • Journal of the Korean Institute of Telematics and Electronics D
    • /
    • v.34D no.1
    • /
    • pp.35-40
    • /
    • 1997
  • A new method to form the gate oxide and recrystllize the polycrystalline silicon (poly-Si) active layer simultaneously is proposed and fabricated successfully. During te irradiation of excimer laser, the poly-Si film is recrystallized, while the oxygen ion impurities injected into the amorphous silicon(a-Si) film are activated by laser energy and react with silicon atoms to form SiO2. We investigated the characteristics of the sample fabricated by proposed method using AES, TEM, AFM. The electrical performance of oxide was verified by ramp up voltage method. Our experimental results show that a high quality oxide, a pol-Si film with fine grain, and a smooth and clean interface between oxide and poly-Si film have been successfully obtained by the proposed fabrication method. The interface roughness of oxide/poly-Si fabricated by new method is superior to film by conventional fabrication os that the proposed method may improve the performance of poly-Si TFTs.

  • PDF