• Title/Summary/Keyword: nitride

Search Result 1,938, Processing Time 0.031 seconds

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

인공관절의 수명 향상을 위해 PIII&D (Plasma Immersion Ion Implantation & Deposition) 기술로 제조된 인공관절용 NbN 박막의 마모 특성 평가

  • Park, Won-Ung;Jeon, Jun-Hong;Mun, Seon-U;Choe, Jin-Yeong;Im, Sang-Ho;Han, Seung-Hui
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.08a
    • /
    • pp.189-189
    • /
    • 2011
  • 인공관절은 노인성 질환이나 자가 면역질환, 신체적인 외상 등으로 인하여 발생하는 관절의 손상 부위를 대체하기 위해 고안된 관절의 인공 대용물이다. 인공 관절 중 인공 고관절의 경우 관절 운동을 하는 라이너(Liner)와 헤드(Head) 부분이 인공관절의 수명을 결정하게 되는데, 헤드 부분에 메탈소재와 라이너 부분에 고분자 소재를 사용하는 MOP (metal on polymer) 구조의 인공관절은 충격흡수의 장점이 있는 반면 wear debris에 의한 골용해로 인하여 관절이 느슨해지는 문제점이 발생하여 재 시술의 주요 원인이 되고 있다. 또한 메탈 헤드의 마모로 인한 금속이온의 용출은 세포 독성의 문제를 야기하여 인공관절의 수명을 낮추는 또 하나의 요인이 되고 있다. 따라서 인공관절의 수명을 늘리기 위해 DLC, ZrO, TiN 등의 높은 경도 값을 갖는 박막을 금속 헤드 위에 증착하여 상대재인 인공관절용 고분자 소재의 마모량을 줄이고자 하는 연구가 활발하게 진행 되고 있다. 본 연구에서는 PIII&D (Plasma Immersion Ion Implantation & Deposition)공정을 이용하여 Co-Cr-Mo 합금 소재 niobium nitride (NbN) 박막을 증착하여 상대제인 UHMWPE (ultra high molecular polyethylene)의 마모를 줄이고자 하는 연구를 진행하였다. 마모량을 감소시키기 위하여, 박막 증착전에 질소를 이온주입하는 pre-ion implantation 공정을 도입하였으며, 또한 Co-Cr 합금과 NbN박막 사이의 접착력을 증가시키기 위하여 박막의 증착 초기에 이온주입과 증착을 동시에 수행하는 dynamic ion mixing공정을 수행하였다. NbN 박막의 특성을 평가하기 위해 XRD, XPS, AFM 등의 분석을 수행하였으며, 상대재인 초고분자량 폴리에틸렌의 마모량을 측정하기 위해 Pin-on-disk tester를 이용하여 마모 실험을 진행하였다. 마모 실험 결과, pre-ion implantation 공정을 도입한 경우 현재 상용화 되어있는 Co-Cr 합금에 비하여 마모량을 2배 이상 감소시키는 것을 확인 할 수 있었으며, dynamic ion mixing 공정을 도입한 경우 장시간의 마모 시험에 대한 마모 특성이 향상 되는 것을 확인 할 수 있었다.

  • PDF

Role of CH2F2 and N-2 Flow Rates on the Etch Characteristics of Dielectric Hard-mask Layer to Extreme Ultra-violet Resist Pattern in CH2F2/N2/Ar Capacitively Coupled Plasmas

  • Kwon, B.S.;Lee, J.H.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.210-210
    • /
    • 2011
  • The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.

  • PDF

Electrochemical properties of all solid state Li/LiPON/Sn-substituted LiMn2O4 thin film batteries

  • Kong, Woo-Yeon;Yim, Hae-Na;Yoon, Seok-Jin;Nahm, Sahn;Choi, Ji-Won
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.409-409
    • /
    • 2011
  • All solid-state thin film lithium batteries have many applications in miniaturized devices because of lightweight, long-life, low self-discharge and high energy density. The research of cathode materials for thin film lithium batteries that provide high energy density at fast discharge rates is important to meet the demands for high-power applications. Among cathode materials, lithium manganese oxide materials as spinel-based compounds have been reported to possess specific advantages of high electrochemical potential, high abundant, low cost, and low toxicity. However, the lithium manganese oxide has problem of capacity fade which caused by dissolution of Mn ions during intercalation reaction and phase instability. For this problem, many studies on effect of various transition metals have been reported. In the preliminary study, the Sn-substituted LiMn2O4 thin films prepared by pulsed laser deposition have shown the improvement in discharge capacity and cycleability. In this study, the thin films of LiMn2O4 and LiSn0.0125Mn1.975O4 prepared by RF magnetron sputtering were studied with effect of deposition parameters on the phase, surface morphology and electrochemical property. And, all solid-state thin film batteries comprised of a lithium anode, lithium phosphorus oxy-nitride (LiPON) solid electrolyte and LiMn2O4-based cathode were fabricated, and the electrochemical property was investigated.

  • PDF

Preparation of Ultrafine C/N Controled TiCxNy Powders by Magnesium Reduction (마그네슘환원에 의한 C/N 조성제어 초미립 TiCxNy 분말 합성)

  • Lee, Dong-Won;Kim, Byoung-Kee;Yun, Jung-Yeul;Yu, Ji-Hoon;Kim, Yong-Jin
    • Journal of Powder Materials
    • /
    • v.17 no.2
    • /
    • pp.142-147
    • /
    • 2010
  • The ultrafine titanium carbonitride ($TiC_xN_y$) particles below 100 nm in mean size, including various carbon and nitrogen contents (x=0.55~0.9, y=0.1~0.5), were successfully synthesized by new Mg-thermal reduction process. Nanostructured sub-stoichiometric titanium carbide ($TiC_x$) particles were initially produced by the magnesium reduction of gaseous $TiCl_4+x/2C_2Cl_4$ at $890^{\circ}C$ and post heat treatments in vacuum were performed for 2 hrs to remove residual magnesium and magnesium chloride mixed with $TiC_x$. Finally, well C/N-controled $TiC_xN_y$ phases were successfully produced by nitrification heat treatment under normal $N_2$ gas atmosphere at $1150^{\circ}C$ for 2 hrs. The values of purity, mean particle size and oxygen content of produced particles were about 99.3%, 100 nm and 0.2 wt.%, respectively.

Fabrication of n-ITO/p-PSL heterojunction type photodetectors and their characteristics (n-ITO/p-PSL 이종접합형 광검출 소자의 제조 및 그 특성)

  • Kim, Hang-Kyoo;Shin, Jang-Kyoo;Lee, Jong-Hyun;Song, Jae-Won
    • Journal of Sensor Science and Technology
    • /
    • v.4 no.1
    • /
    • pp.3-8
    • /
    • 1995
  • n-ITO/p-PSL heterojunction photodetector have been fabricated on the Si wafer by using ITO(indium tin oxide) and PSL(porous silicon layer). They were anodized selectively by using silicon nitride and Ni-Cr/Au and were passivated by using ITO as well as being isolated by using mesa structure. With white light from 0 to 3000 Lux, the photocurrent varied linearly with incident light intensity. The reverse characteristics of fabricated devices were very stable up to a bias voltage of -40V and dark current density was about $40nA/mm^{2}$. When the device was exposed by Xe lamp whose wavelength range from 400nm to 1100nm, the maximum photo responsivity was about 0.6A/W between 600 and 700nm. Variation of the characteristics of fabricated devices after 5 weeks was negligible.

  • PDF

Development of High Entropy Alloy Film using Magnetron Sputtering

  • Kim, Young Seok;Lim, Ki Seong;Kim, Ki Buem
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.129-129
    • /
    • 2018
  • Hard coating application is effective way of cutting tool for hard-to-machine materials such as Inconel, Ti and composite materials focused on high-tech industries which are widely employed in aerospace, automobile and the medical device industry also Information Technology. In cutting tool for hard-to-machine materials, high hardness is one of necessary condition along with high temperature stability and wear resistance. In recent years, high-entropy alloys (HEAs) which consist of five or more principal elements having an equi-atomic percentage were reported by Yeh. The main features of novel HEAs reveal thermodynamically stable, high strength, corrosion resistance and wear resistance by four characteristic features called high entropy, sluggish diffusion, several-lattice distortion and cocktail effect. It can be possible to significantly extend the field of application such as cutting tool for difficult-to-machine materials in extreme conditions. Base on this understanding, surface coatings using HEAs more recently have been developed with considerable interest due to their useful properties such as high hardness and phase transformation stability of high temperature. In present study, the nanocomposite coating layers with high hardness on WC substrate are investigated using high entropy alloy target made a powder metallurgy. Among the many surface coating methods, reactive magnetron sputtering is considered to be a proper process because of homogeneity of microstructure, improvement of productivity and simplicity of independent control for several critical deposition parameters. The N2 is applied to reactive gas to make nitride system with transition metals which is much harder than only alloy systems. The acceleration voltage from 100W to 300W is controlled by direct current power with various deposition times. The coating layers are systemically investigated by structural identification (XRD), evaluation of microstructure (FE-SEM, TEM) and mechanical properties (Nano-indenter).

  • PDF

Analysis microstructure and mechanical properties of AlCr-based cutting tool coatings (AlCr계 절삭공구 코팅의 미세조직 및 우수한 기계적 물성 분석)

  • Im, Gi-Seong;Kim, Yeong-Seok;Park, Hye-Jin;Mun, Sang-Cheol;Jeong, Se-Il;Kim, Gwang-Sik;Park, Yeong-Gun;Kim, Gi-Beom
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2018.06a
    • /
    • pp.131-131
    • /
    • 2018
  • 최근 절삭공구산업은 자동차, 항공기, IT, 선박, 에너지 등 첨단산업의 증가로 인해 CGI, CFRP, 내열합금 등 난삭재의 수요가 증가하고 있다. 난삭재는 고내열, 고경도, 초경량 같은 특성을 지니며 우수한 기계적 물성을 갖지만 가공의 어려움이 있어 산업에 적용하는데 한계가 있다. 이러한 한계를 극복하기 위해 개발된 가공기술 중 하드 코팅은 공구코팅비용 대비 공구의 표면경도와 수명을 효율적으로 향상시킬 수 있다고 알려져 있다. 대표적인 하드코팅으로는 AlN계, TiN계 코팅이 있다. 이러한 코팅의 경우 높은 기계적 물성과 우수한 내마모성으로 인해 절삭공구의 성능을 향상시킬 수 있기 때문에, 많은 연구가 진행되고 있으며 절삭공구산업에서 각광받고 있다. 기존 선행연구 결과에 따르면 질화물 코팅의 우수한 물성은 질화물(Nitride) 생성 및 질화 공정에 의한 코팅층의 고밀도화에 의해 나타난다고 알려져 있다. 그 중에서 AlCrN coating은 우수한 내마모성 및 향상된 고온경도를 갖고 있다. AlCrN based coating에 미량의 원소를 첨가하여 기존 AlCrN coating의 기계적 특성을 더욱 향상 시킨 coating은 일반적인 고성능 코팅 대비 공구수명이 길다고 알려져 있으며, 전반적으로 우수한 특성에 의해 전 세계적으로 습식 및 건식 기계 가공 용도로 사용되고 있다. 본 연구에서는 AlCrN based coating에 미량의 원소를 첨가한 coating의 우수한 기계적 특성의 원인을 규명하기 위해 텅스텐카바이드(WC) 기판 위에 아크 이온 플레이팅 장비를 이용하여 AlCrN based coating을 증착 시킨 sample을 분석하였다. 결정구조 및 상 분석을 위해 X선 회절분석(XRD)을 실시하였으며, 미세 구조를 분석하기 위해 전계방출형 주사전자현미경(FE-SEM), 투과 전자현미경(TEM) 분석을 실시하였다. 또한 코팅층의 화학적 성분 분석을 위해 EDX분석을 실시하였으며 기계적 특성 평가를 위해 나노압입시험(Nano-indentation test)을 진행하였다.

  • PDF

Pressure Sensing Properties of AlN Thin Films Sputtered at Room Temperature

  • Seok, Hye-Won;Kim, Sei-Ki;Kang, Yang-Koo;Lee, Youn-Jin;Hong, Yeon-Woo;Ju, Byeong-Kwon
    • Journal of Sensor Science and Technology
    • /
    • v.23 no.2
    • /
    • pp.94-98
    • /
    • 2014
  • Aluminum nitride (AlN) thin films with a TiN buffer layer have been fabricated on SUS430 substrate by RF reactive magnetron sputtering at room temperature under 25~75% $N_2$ /Ar. The characterization of film properties were performed using surface profiler, X-ray diffraction, X-ray photoelectron spectroscopy(XPS), and pressure-voltage measurement system. The deposition rates of AlN films were decreased with increasing the $N_2$ concentration owing to lower mass of nitrogen ions than Ar. The as-deposited AlN films showed crystalline phase, and with increasing the $N_2$ concentration, the peak of AlN(100) plane and the crystallinity became weak. Any change in the preferential orientation of the as-deposited AlN films was not observed within our $N_2$ concentration range. But in the case of 50% $N_2$ /Ar condition, the peak of (002) plane, which is determinant in pressure sensing properties, appeared. XPS depth profiling of AlN/TiN/SUS430 revealed Al/N ratio was close to stoichiometric value (45:47) when deposited under 50% $N_2/Ar$ atmosphere at room temperature. The output signal voltage of AlN sensor showed a linear behavior between 26~85 mV, and the pressure-sensing sensitivity was calculated as 7 mV/MPa.

The Effect of Activated Nitrogen Species for Diffusion Rate during a Plasma Nitriding Process (플라즈마질화에서 발생기 질소와 질화 속도에 관한 연구)

  • Kim, Sang-Gweon;Kim, Sung-Wan;Brand, P.J.
    • Journal of the Korean Society for Heat Treatment
    • /
    • v.23 no.3
    • /
    • pp.150-155
    • /
    • 2010
  • Generally, plasma nitriding process has composed with a nitriding layer within glow discharge region occurred by energy exchange. The dissociations of nitrogen molecules are very difficult to make neutral atoms or ionic nitrogen species via glow discharge area. However, the captured electrons in which a double-folded screen with same potential cathode can stimulate and come out some single atoms or activated ionic species. It was showed an important thing that is called "hat is a dominant component in this nitriding process?" in plasma nitriding process and it can take an effective species for without compound layer. During a plasma nitriding process, it was able to estimate with analyzing and identification by optical emission spectroscopy (OES) study. And then we can make comparative studies on the nitrogen transfer with plasma nitriding and ATONA process using plasma diagnosis and metallurgical observation. From these observations, we can understand role of active species of nitrogen, like N, $N^+$, ${N_2}^+$, ${N_2}^*$ and $NH_x$-radical, in bulk plasma of each process. And the same time, during DC plasma nitriding and other processes, the species of FeN atom or any ionic nitride species were not detected by OES analyzing.