• Title/Summary/Keyword: line-edge-roughness

Search Result 12, Processing Time 0.03 seconds

Modeling and Simulation of Line Edge Roughness for EUV Resists

  • Kim, Sang-Kon
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.1
    • /
    • pp.61-69
    • /
    • 2014
  • With the extreme ultraviolet (EUV) lithography, the performance limit of chemically amplified resists has recently been extended to 16- and 11-nm nodes. However, the line edge roughness (LER) and the line width roughness (LWR) are not reduced automatically with this performance extension. In this paper, to investigate the impacts of the EUVL mask and the EUVL exposure process on LER, EUVL is modeled using multilayer-thin-film theory for the mask structure and the Monte Carlo (MC) method for the exposure process. Simulation results demonstrate how LERs of the mask transfer to the resist and the exposure process develops the resist LERs.

Monte Carlo Simulation Study: the effects of double-patterning versus single-patterning on the line-edge-roughness (LER) in FDSOI Tri-gate MOSFETs

  • Park, In Jun;Shin, Changhwan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.13 no.5
    • /
    • pp.511-515
    • /
    • 2013
  • A Monte Carlo (MC) simulation study has been done in order to investigate the effects of line-edge-roughness (LER) induced by either 1P1E (single-patterning and single-etching) or 2P2E (double-patterning and double-etching) on fully-depleted silicon-on-insulator (FDSOI) tri-gate metal-oxide-semiconductor field-effect transistors (MOSFETs). Three parameters for characterizing the LER profile [i.e., root-mean square deviation (${\sigma}$), correlation length (${\zeta}$), and fractal dimension (D)] are extracted from the image-processed scanning electron microscopy (SEM) image for each photolithography method. It is experimentally verified that two parameters (i.e., ${\sigma}$ and D) are almost the same in each case, but the correlation length in the 2P2E case is longer than that in the 1P1E case. The 2P2E-LER-induced $V_TH$ variation in FDSOI tri-gate MOSFETs is smaller than the 1P1E-LER-induced $V_TH$ variation. The total random variation in $V_TH$, however, is very dependent on the other major random variation sources, such as random dopant fluctuation (RDF) and work-function variation (WFV).

Role of $N_2$ flow rate on etch characteristics and variation of line edge roughness during etching of silicon nitride with extreme ultra-violet resist pattern in dual-frequency $CH_2F_2/N_2$/Ar capacitively coupled plasmas

  • Gwon, Bong-Su;Jeong, Chang-Ryong;Lee, Nae-Eung;Lee, Seong-Gwon
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.02a
    • /
    • pp.458-458
    • /
    • 2010
  • The process window for the etch selectivity of silicon nitride ($Si_3N_4$) layers to extreme ultra-violet (EUV) resist and variation of line edge roughness (LER) of EUV resist were investigated durin getching of $Si_3N_4$/EUV resist structure in a dual-frequency superimposed capacitive coupled plasma (DFS-CCP) etcher by varying the process parameters, such as the $CH_2F_2$ and $N_2$ gas flow rate in $CH_2F_2/N_2$/Ar plasma. The $CH_2F_2$ and $N_2$ flow rate was found to play a critical role in determining the process window for infinite etch selectivity of $Si_3N_4$/EUV resist, due to disproportionate changes in the degree of polymerization on $Si_3N_4$ and EUV resist surfaces. The preferential chemical reaction between hydrogen and carbon in the hydrofluorocarbon ($CH_xF_y$) polymer layer and the nitrogen and oxygen on the $Si_3N_4$, presumably leading to the formation of HCN, CO, and $CO_2$ etch by-products, results in a smaller steady-state hydrofluorocarbon thickness on $Si_3N_4$ and, in turn, in continuous $Si_3N_4$ etching due to enhanced $SiF_4$ formation, while the $CH_xF_y$ layer is deposited on the EUV resist surface. Also critical dimension (and line edge roughness) tend to decrease with increasing $N_2$ flow rate due to decreased degree of polymerization.

  • PDF

Role of CH2F2 and N-2 Flow Rates on the Etch Characteristics of Dielectric Hard-mask Layer to Extreme Ultra-violet Resist Pattern in CH2F2/N2/Ar Capacitively Coupled Plasmas

  • Kwon, B.S.;Lee, J.H.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.210-210
    • /
    • 2011
  • The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.

  • PDF

PS-b-PDMS와 Amorphous Carbon Layer를 이용하여 Aspect-ratio와 Line-edge 개선에 대한 연구

  • O, Ji-Su;Seong, Da-In;O, Jong-Sik;Yeom, Won-Gyun;Yeom, Geun-Yeong
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2017.05a
    • /
    • pp.142-142
    • /
    • 2017
  • High Flory-Huggins interaction parameter (${\chi}$)를 가지는 polystyrene-block-dimethylsiloxane (PS-b-PDMS)는 초미세 패턴 제작과 우수한 defect density, 우수한 edge roughness 특성으로 향상된 패턴을 제공한다는 점에서 반도체 분야에서 많은 연구가 되고 있다. 하지만, PS와 PDMS 사이에 존재하는 큰 surface tension의 차이로 인해 PDMS는 PS와 air 사이에서 segregate되기 때문에 수직배향에 불리하여 high aspect ratio (HAR)을 가지는 cylinder, lamellar 패턴 제작에 있어 큰 어려움을 가진다. 본 연구에서는 이러한 문제를 해결하기 위해, PS-b-PDMS BCP 패턴과 하부 실리콘 기판 사이에 amorphous carbon layer (ACL)를 삽입하여 효과적으로 pattern transfer하는 공정을 연구하였다. 플라즈마를 이용하여 무한대에 가까운 etch selectivity를 가지는 식각 공정을 개발함으로써 낮은 aspect ratio를 가지는 PS-b-PDMS BCP 패턴의 한계점을 극복하였다. Large-x value를 가지는 BCPs를 이용하여도 매우 높은 aspect ratio를 가지면서 동시에 pattern quality를 향상시킬 수 있는 plasma process를 제시하였다.

  • PDF

Effects of Process Conditions on Electrode Patterning by Screen Printing Method (스크린 인쇄법의 공정 조건이 전극 패턴 균일성에 미치는 영향)

  • Lee, Na-Young;Kim, Dong-Chul;Yeo, Dong-Hun;Lee, Joo-Sung;Yoon, Sang Ok;Shin, Hyo-Soon;Lee, Joon-Hyung
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.33 no.5
    • /
    • pp.355-359
    • /
    • 2020
  • In this study, image analysis and surface roughness measurements using an optical microscope are presented as a method to quantitatively evaluate the results of screen printing. Using this method, the squeegee speed, which is the printing process condition, and the printability of the electrode according to the screen mesh were evaluated. Increasing the squeegee speed in the printing process acts as a process element that increases the line width precision of the printed electrode and lowers the surface roughness of the printed surface. Furthermore, the edge roughness, which indicates the clarity of printing, was not significantly affected by the speed of the squeegee during printing. The print thickness increases in proportion to the squeegee speed, but is largely dependent on the screen thickness.

Marginal dicrepancy and topography of the artificial crown on the extracted abutment (발치된 치아에 부착된 수복물의 변연 적합 및 형태)

  • Lee, Jeong-Hoon;Choi, Min-Ho;Kim, Min-Ho;Kang, Dong-Wan
    • Journal of Dental Rehabilitation and Applied Science
    • /
    • v.18 no.4
    • /
    • pp.313-320
    • /
    • 2002
  • The purpose of the present study was to evaluate the marginal discrepancy and topography of artificial crown on teeth extracted due to severe periodontal disease. Twenty specimens were invested into metamethylacrylate resin and cutted into vertical slices along with the long axis of tooth. The selected marginal discrepancy between the outer edge of the crown and the finishing line of abutment was examined by stereo- microscope(Olympus, PM-VSP-3, Japan) at magnification of up to 10, and the topography of finishing margin on crown was observed by stereomicroscopeat magnification of up to $70{\times}$. The results were as follows. (1) The mean marginal discrepancy between extracted tooth and artificial crown were $50.82{\mu}m$. (2) There was a considerable difference in the microstructure of finishing margins among specimens. Microscopic Structure on finishing margin showed indefinite line, poor fit (open, underextended and overextended), distorted margin, and surface roughness. This study suggested that there could be necessary to consider the response of periodontium to the emergence profile of natural tooth and precision of marginal geometry while establishing treatment planning for the reconsruction of the artificial crown.

Highly Tunable Block Copolymer Self-assembly for Nanopatterning

  • Jeong, Yeon-Sik;Jeong, Jae-Won
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.6.1-6.1
    • /
    • 2011
  • Nanoscale block copolymer (BCP) patterns have been pursued for applications in sub-30 nm nanolithography. BCP self-assembly processing is scalable and low cost, and is well-suited for integration with existing semiconductor fabrication techniques. However, one of the major technical challenges for BCP self-assembly is limited tunability in pattern geometry, dimension, and functionality. We suggest methods for extending the degree of tunability by choosing highly incompatible polymer blocks and utilizing solvent vapor treatment techniques. Siloxane BCPs have been developed as self-assembling resists due to many advantages such as high etch-selectivity, good etch-resistance, long-range ordering, and reduced line-edge roughness. The large incompatibility leads to extensive degree of pattern tunability since the effective volume fraction can be easily manipulated by solvent-based treatment techniques. Thus, control of the microdomain size, periodicity, and morphology is possible by changing the vapor pressure and the mixing ratio of selective solvents. This allows a range of different pattern geometry such as dots, lines and holes and critical dimension simply by changing the processing conditions of a given block copolymer without changing a polymer chain length. We demonstrate highly extensive tunability (critical dimension ~6~30 nm) of self-assembled patterns prepared by a siloxane BCP with extreme incompatibility.

  • PDF

Inductively coupled plasma etching of SnO2 as a new absorber material for EUVL binary mask

  • Lee, Su-Jin
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2010.08a
    • /
    • pp.124-124
    • /
    • 2010
  • Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. EUVL is one of competitive lithographic technologies for sub-22nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance due to the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore, new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF

Infinitely high selectivity etching of SnO2 binary mask in the new absorber material for EUVL using inductively coupled plasma

  • Lee, S.J.;Jung, C.Y.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.285-285
    • /
    • 2011
  • EUVL (Extreme Ultra Violet Lithography) is one of competitive lithographic technologies for sub-30nm fabrication of nano-scale Si devices that can possibly replace the conventional photolithography used to make today's microcircuits. Among the core EUVL technologies, mask fabrication is of considerable importance since the use of new reflective optics having a completely different configuration compared to those of conventional photolithography. Therefore new materials and new mask fabrication process are required for high performance EUVL mask fabrication. This study investigated the etching properties of SnO2 (Tin Oxide) as a new absorber material for EUVL binary mask. The EUVL mask structure used for etching is SnO2 (absorber layer) / Ru (capping / etch stop layer) / Mo-Si multilayer (reflective layer) / Si (substrate). Since the Ru etch stop layer should not be etched, infinitely high selectivity of SnO2 layer to Ru ESL is required. To obtain infinitely high etch selectivity and very low LER (line edge roughness) values, etch parameters of gas flow ratio, top electrode power, dc self - bias voltage (Vdc), and etch time were varied in inductively coupled Cl2/Ar plasmas. For certain process window, infinitely high etch selectivity of SnO2 to Ru ESL could be obtained by optimizing the process parameters. Etch characteristics were measured by on scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) analyses. Detailed mechanisms for ultra-high etch selectivity will be discussed.

  • PDF