• Title/Summary/Keyword: etching mask

Search Result 233, Processing Time 0.027 seconds

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

Refilled mask structure for Minimizing Shadowing Effect on EUV Lithography

  • Ahn, Jin-Ho;Shin, Hyun-Duck;Jeong, Chang-Young
    • Journal of the Semiconductor & Display Technology
    • /
    • v.9 no.4
    • /
    • pp.13-18
    • /
    • 2010
  • Extreme ultraviolet (EUV) lithography using 13.5 nm wavelengths is expected to be adopted as a mass production technology for 32 nm half pitch and below. One of the new issues introduced by EUV lithography is the shadowing effect. Mask shadowing is a unique phenomenon caused by using mirror-based mask with an oblique incident angle of light. This results in a horizontal-vertical (H-V) biasing effect and ellipticity in the contact hole pattern. To minimize the shadowing effect, a refilled mask is an available option. The concept of refilled mask structure can be implemented by partial etching into the multilayer and then refilling the trench with an absorber material. The simulations were carried out to confirm the possibility of application of refilled mask in 32 nm line-and-space pattern under the condition of preproduction tool. The effect of sidewall angle in refilled mask is evaluated on image contrast and critical dimension (CD) on the wafer. We also simulated the effect of refilled absorber thickness on aerial image, H-V CD bias, and overlapping process window. Finally, we concluded that the refilled absorber thickness for minimizing shadowing effect should be thinner than etched depth.

The Optimization of Chemical Treatments through the Six Sigma Innovation Activity (6시그마 혁신활동을 통한 약품처리 최적화)

  • Kim Tai Kyoo;Kim Hong Chul
    • Journal of Korea Technology Innovation Society
    • /
    • v.7 no.3
    • /
    • pp.641-656
    • /
    • 2004
  • L Company is producing the second sept pin, Shadow Mask which is the chief part of CRT in W, Monitor. Inside of the CRT pan, Shadow Mask leads the electronic beam to express the three primary colors; red, green, blue, and it is the core part to embody the colors. In the etching process, it produces this part with manufacturing by eroding the iron chloride. Even though the iron chloride is harmless to human body. it is necessary to diminish the amount of it on the view of preservation of environment. In addition, by studying the method of the dispossed liquid process, cutting down the manufacturing cost is a necessary task on the aspect of reconsideration of competitive business. This study shows the case that through the six sigma innovation activity, it reforms the following the former processing flow, and it reduces the amount of it by improving the efficiency of the iron chloride. By rationalization of the standard requirement cooperative company, it could cut down the manufacturing cost in the cooperative company, so it could promote the common profits.

  • PDF

Fabrication of nanostencil using FIB milling for nanopatterning (FIB 밀링을 이용한 나노스텐실 제작 및 나노패터닝)

  • Chung Sung-Ill;Oh Hyeon-Seok;Kim Gyu-Man
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.23 no.3 s.180
    • /
    • pp.56-60
    • /
    • 2006
  • A high-resolution shadow mask, or called a nanostencil was fabricated for high resolution lithography. This high-resolution shadowmask was fabricated by a combination or MEMS processes and focused ion beam (FIB) milling. 500 nm thick and $2{\times}2mm$ large membranes wore made on a silicon wafer by micro-fabrication processes of LPCVD, photolithography, ICP etching and bulk silicon etching. A subsequent FIB milling enabled local membrane thinning and aperture making into the thinned silicon nitride membrane. Due to the high resolution of the FIB milling process, nanoscale apertures down to 70 nm could be made into the membrane. By local deposition through the apertures of nanostencil, nanoscale patterns down to 70 nm could be achieved.

Nanostencil fabrication using FIB milling (FIB 밀링을 이용한 나노스텐실 제작)

  • 김규만;정성일;오현석
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2004.10a
    • /
    • pp.871-874
    • /
    • 2004
  • Fabrication of a high-resolution shadow mask, or called nanostencil, is presented. This high-resolution shadowmask is fabricated by a combination of MEMS processes and focused ion beam (FIB) milling. 500 nm thick and 2x2 mm large membranes are made on a silicon wafer by micro-fabrication processes of LPCVD, photolithography, ICP etching and bulk silicon etching. Subsequent FIB milling enabled local membrane thinning and aperture making into the thinned silicon nitride membrane. Due to high resolution of FIB milling process, nanoscale apertures down to 70 nm could be made into the membrane.

  • PDF

Selective Removal of Mask by Mechanical Cutting for Micro-patterning of Silicon (마스크에 대한 기계적 가공을 이용한 단결정 실리콘의 미세 패턴 가공)

  • Jin, Won-Hyeog;Kim, Dae-Eun
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.16 no.2 s.95
    • /
    • pp.60-67
    • /
    • 1999
  • Micro-fabrication techniques such as lithography and LIGA processes usually require large investment and are suitable for mass production. Therefore, there is a need for a new micro-fabrication technique that is flexible and more cost effective. In this paper a novel, economical and flexible method of producing micro-pattern on silicon wafer is presented. This method relies on selective removal of mask by mechanical cutting. Then micro-pattern is produced by chemical etching. V-shaped grooved of about 3 ${\mu}m$ wide and 2 ${\mu}m$ deep has been made on ${SiO_2}m$ coated silicon wafer with this method. This method may be utilized for making microstructures in MEMS application at low cost.

  • PDF

Morphology of Si Etching Structure Using KOH Solution with IPA and Ethanol (KOH를 이용한 Si 식각에서 IPA와 Ethanol을 사용한 경우의 표면 비교)

  • Lee, Gwi-Deok;Roh, Yong-Han
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.11a
    • /
    • pp.123-124
    • /
    • 2006
  • 본 연구에서는 KOH 용액을 사용한 Si 습식 이방성 식각실험 진행 후, 나타나는 표면의 거친 현상을 완화하는 데에 중점을 두고 연구를 진행하였다. 이를 위해 $SiO_2$ 웨이퍼 위에 Photo-lithography 공정으로 형성시킨 PMER 패턴을 Mask로 사용하여 HF 용액으로 $SiO_2$를 식각시켰으며, 형성된 $SiO_2$를 Mask로 사용하여 KOH 용액으로 Si을 식각시켰다. 이 때, KOH와 혼합하는 용액으로 IPA와 Ethan이을 각각 사용하여 실험을 진행하였으며, ESEM을 이용하여 표면을 비교하였다.

  • PDF

Focused Ion Beam Milling for Nanostencil Lithography (나노스텐실 제작을 위한 집속이온빔 밀링 특성)

  • Kim, Gyu-Man
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.28 no.2
    • /
    • pp.245-250
    • /
    • 2011
  • A high-resolution shadow mask, a nanostencil, is widely used for high resolution lithography. This high-resolution shadowmask is often fabricated by a combination of MEMS processes and focused ion beam (FIB) milling. In this study, FIB milling on 500-nm-thin SiN membrane was tested and characterized. 500 nm thick and $2{\times}2$ mm large membranes were made on a silicon wafer by micro-fabrication processes of LPCVD, photolithography, ICP etching and bulk silicon etching. A subsequent FIB milling enabled local membrane thinning and aperture making into the thinned silicon nitride membrane. Due to the high resolution of the FIB milling process, nanoscale apertures down to 60 nm could be made into the membrane. The nanostencil could be used for nanoscale patterning by local deposition through the apertures.

Residual Stress Analysis of Cold Rolled Sheet in Shadow Mask (Shadow Mask용 냉간 압연박판의 잔류응력 해석)

  • 정호승;조종래;문영훈;김교성
    • Proceedings of the Korean Society for Technology of Plasticity Conference
    • /
    • 2002.05a
    • /
    • pp.195-198
    • /
    • 2002
  • Residual stress of sheet occurs during cold rolling and it is hard to avoid and inevitable. The residual stress in the sheet cause etching curls when it suffers peroration process. The residual stress through the thickness direction in the sheet is a function of a friction coefficient, total reduction, mil size and initial sheet thickness. To estimate the residual stress and deformation due to etching curl, FEM analysis is performed. A numerical analysis is used a ANSYS 5.6 and an elastic-plastic constitutive equations. rho simulation results indicate a distribution of residual stress.

  • PDF

A Study on the Fabrication of Sub-Micro Mold for PDMS Replica Molding Process by Using Hyperfine Mechanochemical Machining Technique (기계화학적 극미세 가공기술을 이용한 PDMS 복제몰딩 공정용 서브마이크로 몰드 제작에 관한 연구)

  • 윤성원;강충길
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2004.10a
    • /
    • pp.351-354
    • /
    • 2004
  • This work presents a simple and cost-effective approach for maskless fabrication of positive-tone silicon master for the replica molding of hyperfine elastomeric channel. Positive-tone silicon masters were fabricated by a maskless fabrication technique using the combination of nanoscratch by Nanoindenter ⓡ XP and XOH wet etching. Grooves were machined on a silicon surface coated with native oxide by ductile-regime nanoscratch, and they were etched in a 20 wt% KOH solution. After the KOH etching process, positive-tone structures resulted because of the etch-mask effect of the amorphous oxide layer generated by nanoscratch. The size and shape of the positive-tone structures were controlled by varying the etching time (5, 15, 18, 20, 25, 30 min) and the normal loads (1, 5 mN) during nanoscratch. Moreover, the effects of the Berkovich tip alignment (0, 45$^{\circ}$) on the deformation behavior and etching characteristic of silicon material were investigated.

  • PDF