• 제목/요약/키워드: etch process

검색결과 553건 처리시간 0.031초

Etch Characteristics of $SiO_2$ by using Pulse-Time Modulation in the Dual-Frequency Capacitive Coupled Plasma

  • 전민환;강세구;박종윤;염근영
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.472-472
    • /
    • 2011
  • The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of $SiO_2$ by using the pulse-time modulation in the dual-frequency CCP source composed of 60 MHz/ 2 MHz rf power. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated. Also, as the size of the semiconductor device is decreased to nano-scale, the etching of contact hole which has nano-scale higher aspect ratio is required. For the nano-scale contact hole etching by using continuous plasma, several etch problems such as bowing, sidewall taper, twist, mask faceting, erosion, distortions etc. occurs. To resolve these problems, etching in low process pressure, more sidewall passivation by using fluorocarbon-based plasma with high carbon ratio, low temperature processing, charge effect breaking, power modulation are needed. Therefore, in this study, to resolve these problems, we used the pulse-time modulated dual-frequency CCP system. Pulse plasma is generated by periodical turning the RF power On and Off state. We measured the etch rate, etch selectivity and etch profile by using a step profilometer and SEM. Also the X-ray photoelectron spectroscopic analysis on the surfaces etched by different duty ratio conditions correlate with the results above.

  • PDF

유도결합 플라즈마를 이용한 $Al_2O_3$ 식각 특성 (The etching properties of $Al_2O_3$ thin films in $N_2/Cl_2/BCl_3$ and Ar/$Cl_2/BCl_3$ gas chemistry)

  • 구성모;김동표;김경태;김창일
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 2004년도 추계학술대회 논문집 전기물성,응용부문
    • /
    • pp.72-74
    • /
    • 2004
  • In this study, we used a inductively coupled plasma (ICP) source for etching $Al_2O_3$ thin films because of its high plasma density, low process pressure and easy control bias power. $Al_2O_3$ thin films were etched using $Cl_2/BCl_3$, $N_2/Cl_2/BCl_3$, and Ar/$Cl_2/BCl_3$ plasma. The experiments were carried out measuring the etch rates and the selectivities of $Al_2O_3$ to $SiO_2$ as a function of gas mixing ratio, rf power, and chamber pressure. When $Cl_2$ 50% was added to $Cl_2/BCl_3$ plasma, the etch rate of the $Al_2O_3$ films was 118 nm/min. We also investigated the effect of gas addition. In case of $N_2$ addition, the etch rate of the $Al_2O_3$ films decreased while $N_2$ was added into $Cl_2/BCl_3$ plasma. However, the etch rate increased slightly as Ar added into $Cl_2/BCl_3$ plasma, and then further increase of Ar decreased the etch rate. The maximum etch rate was 130 nm/min at Ar 20% in $Cl_2/BCl_3$ plasma, and the highest etch selectivity was 0.81 in $N_2$ 20% in $Cl_2/BCl_3$ plasma. And, we obtained the results that the etch rate increases as rf power increases and chamber pressure decreases. The characteristics of the plasmas were estimated using optical emission spectroscopy (OES).

  • PDF

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

저진공 축전 결합형 BCl3/N2 플라즈마를 이용한 GaAs의 건식 식각 (Capacitively Coupled Dry Etching of GaAs in BCl3/N2 Discharges at Low Vacuum Pressure)

  • 김재권;박주홍;이성현;노호섭;주영우;박연현;김태진;이제원
    • 한국재료학회지
    • /
    • 제19권3호
    • /
    • pp.132-136
    • /
    • 2009
  • This study investigates GaAs dry etching in capacitively coupled $BCl_3/N_2$ plasma at a low vacuum pressure (>100 mTorr). The applied etch process parameters were a RIE chuck power ranging from $100{\sim}200W$ on the electrodes and a $N_2$ composition ranging from $0{\sim}100%$ in $BCl_3/N_2$ plasma mixtures. After the etch process, the etch rates, RMS roughness and etch selectivity of the GaAs over a photoresist was investigated. Surface profilometry and field emission-scanning electron microscopy were used to analyze the etch characteristics of the GaAs substrate. It was found that the highest etch rate of GaAs was $0.4{\mu}m/min$ at a 20 % $N_2$ composition in $BCl_3/N_2$ (i.e., 16 sccm $BCl_3/4$ sccm $N_2$). It was also noted that the etch rate of GaAs was $0.22{\mu}m/min$ at 20 sccm $BCl_3$ (100 % $BCl_3$). Therefore, there was a clear catalytic effect of $N_2$ during the $BCl_3/N_2$ plasma etching process. The RMS roughness of GaAs after etching was very low (${\sim}3nm$) when the percentage of $N_2$ was 20 %. However, the surface roughness became rougher with higher percentages of $N_2$.

플라즈마 식각장치내 노즐의 위치에 따른 희박기체유동 및 알루미늄 식각률의 변화에 관한 연구 (Effects of Nozzle Locations on the Rarefied Gas Flows and Al Etch Rate in a Plasma Etcher)

  • 황영규;허중식
    • 대한기계학회논문집B
    • /
    • 제26권10호
    • /
    • pp.1406-1418
    • /
    • 2002
  • The direct simulation Monte Carlo(DSMC) method is employed to calculate the etch rate on Al wafer. The etchant is assumed to be Cl$_2$. The etching process of an Al wafer in a helicon plasma etcher is examined by simulating molecular collisions of reactant and product. The flow field inside a plasma etch reactor is also simulated by the DSMC method fur a chlorine feed gas flow. The surface reaction on the Al wafer is simply modelled by one-step reaction: 3C1$_2$+2Allongrightarrow1 2AIC1$_3$. The gas flow inside the reactor is compared for six different nozzle locations. It is found that the flow field inside the reactor is affected by the nozzle locations. The Cl$_2$ number density on the wafer decreases as the nozzle location moves toward the side of the reactor. Also, the present numerical results show that the nozzle location 1, which is at the top of the reactor chamber, produces a higher etch rate.

SDB와 etch-back 기술에 의한 MEMS용 SiCOI 구조 제조 (Fabrication of SiCOI Structures Using SDB and Etch-back Technology for MEMS Applications)

  • 정수용;우형순;정귀상
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.2
    • /
    • pp.830-833
    • /
    • 2003
  • This paper describes the fabrication and characteristics of 3C-SiCOI sotctures by SDB and etch-back technology for high-temperature MEMS applications. In this work, insulator layers were formed on a heteroepitaxial 3C-SiC film grown on a Si(001) wafer by thermal wet oxidation and PECVD process, successively. The pre-bonding of two polished PECVD oxide layers made the surface activation in HF and bonded under applied pressure. The wafer bonding characteristics were evaluated by the effect of HF concentration used in the surface treatment on the roughness of the oxide and pre-bonding strength. Hydrophilic character of the oxidized 3C-SiC film surface was investigated by ATR-FTIR. The strength of the bond was measured by tensile strengthmeter. The bonded interface was also analyzed by SEM. The properties of fabricated 3C-SiCOI structures using etch-back technology in TMAH solution were analyzed by XRD and SEM. These results indicate that the 3C-SiCOI structure will offers significant advantages in the high-temperature MEMS applications.

  • PDF

Development of sacrificial layer wet etch process of TiNi for nano-electro-mechanical device application

  • Park, Byung Kyu;Choi, Woo Young;Cho, Eou Sik;Cho, Il Hwan
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제13권4호
    • /
    • pp.410-414
    • /
    • 2013
  • We report the wet etching of titanium nickel (TiNi) films for the production of nano-electro-mechanical (NEM) device. $SiO_2$ and $Si_3N_4$ have been selected as sacrificial layers of TiNi metal and etched with polyethylene glycol and hydrofluoric acid (HF) mixed solution. Volume percentage of HF are varied from 10% to 35% and the etch rate of the $SiO_2$, $Si_3N_4$ and TiNi are reported here. Within the various experiment results, 15% HF mixed polyethylene glycol solution show highest etch ratio between sacrificial layer and TiNi metal. Especially $Si_3N_4$ films shows high etch ratio with TiNi films. Wet etching results are measured with SEM inspection. Therefore, this experiment provides a novel method for TiNi in the nano-electro-mechanical device.

Effects of Mixing Ratio of Silicon Carbide Particles on the Etch Characteristics of Reaction-Bonded Silicon Carbide

  • Jung, Youn-Woong;Im, Hangjoon;Kim, Young-Ju;Park, Young-Sik;Song, Jun-Baek;Lee, Ju-Ho
    • 한국세라믹학회지
    • /
    • 제53권3호
    • /
    • pp.349-353
    • /
    • 2016
  • We prepared a number of reaction-bonded silicon carbides (RBSCs) made from various mixing ratios of raw SiC particles, and investigated their microstructure and etch characteristics by Reactive Ion Etch (RIE). Increasing the amount of $9.5{\mu}m$-SiC particles results in a microstructure with relatively coarser Si regions. On the other hand, increasing that of $2.6{\mu}m$-SiC particles produces much finer Si regions. The addition of more than 50 wt% of $2.6{\mu}m$-SiC particles, however, causes the microstructure to become partially coarse. We also evaluated their etching behaviors in terms of surface roughness (Ra), density and weight changes, and microstructure development by employing Confocal Laser Scanning Microscope (CLSM) and Scanning Electron Microscope (SEM) techniques. During the etching process of the prepared samples, we confirmed that the residual Si region was rapidly removed and formed pits isolating SiC particles as islands. This leads to more intensified ion field on the SiC islands, and causes physical corrosion on them. Increased addition of $2.6{\mu}m$-SiC particles produces finer residual Si region, and thus decreases the surface roughness (Ra.) as well as causing weight loss after etching process by following the above etching mechanism.

Sensitivity Enhancement of RF Plasma Etch Endpoint Detection With K-means Cluster Analysis

  • Lee, Honyoung;Jang, Haegyu;Lee, Hak-Seung;Chae, Heeyeop
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2015년도 제49회 하계 정기학술대회 초록집
    • /
    • pp.142.2-142.2
    • /
    • 2015
  • Plasma etch endpoint detection (EPD) of SiO2 and PR layer is demonstrated by plasma impedance monitoring in this work. Plasma etching process is the core process for making fine pattern devices in semiconductor fabrication, and the etching endpoint detection is one of the essential FDC (Fault Detection and Classification) for yield management and mass production. In general, Optical emission spectrocopy (OES) has been used to detect endpoint because OES can be a simple, non-invasive and real-time plasma monitoring tool. In OES, the trend of a few sensitive wavelengths is traced. However, in case of small-open area etch endpoint detection (ex. contact etch), it is at the boundary of the detection limit because of weak signal intensities of reaction reactants and products. Furthemore, the various materials covering the wafer such as photoresist (PR), dielectric materials, and metals make the analysis of OES signals complicated. In this study, full spectra of optical emission signals were collected and the data were analyzed by a data-mining approach, modified K-means cluster analysis. The K-means cluster analysis is modified suitably to analyze a thousand of wavelength variables from OES. This technique can improve the sensitivity of EPD for small area oxide layer etching processes: about 1.0 % oxide area. This technique is expected to be applied to various plasma monitoring applications including fault detections as well as EPD.

  • PDF

Effects of Etch Parameters on Etching of CoFeB Thin Films in $CH_4/O_2/Ar$ Mix

  • Lee, Tea-Young;Lee, Il-Hoon;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.390-390
    • /
    • 2012
  • Information technology industries has grown rapidly and demanded alternative memories for the next generation. The most popular random access memory, dynamic random-access memory (DRAM), has many advantages as a memory, but it could not meet the demands from the current of developed industries. One of highlighted alternative memories is magnetic random-access memory (MRAM). It has many advantages like low power consumption, huge storage, high operating speed, and non-volatile properties. MRAM consists of magnetic-tunnel-junction (MTJ) stack which is a key part of it and has various magnetic thin films like CoFeB, FePt, IrMn, and so on. Each magnetic thin film is difficult to be etched without any damages and react with chemical species in plasma. For improving the etching process, a high density plasma etching process was employed. Moreover, the previous etching gases were highly corrosive and dangerous. Therefore, the safety etching gases are needed to be developed. In this research, the etch characteristics of CoFeB magnetic thin films were studied by using an inductively coupled plasma reactive ion etching in $CH_4/O_2/Ar$ gas mixes. TiN thin films were used as a hardmask on CoFeB thin films. The concentrations of $O_2$ in $CH_4/O_2/Ar$ gas mix were varied, and then, the rf coil power, gas pressure, and dc-bias voltage. The etch rates and the selectivity were obtained by a surface profiler and the etch profiles were observed by a field emission scanning electron microscopy. X-ray photoelectron spectroscopy was employed to reveal the etch mechanism.

  • PDF