• 제목/요약/키워드: etch process

검색결과 553건 처리시간 0.035초

기판의 왕복 운동을 이용한 인라인 식각세정장치 내 ITO 식각특성 (ITO Wet Etch Properties in an In-line Wet Etch/Cleaning System by using an Alternating Movement of Substrate)

  • 홍성재;권상직;조의식
    • 한국전기전자재료학회논문지
    • /
    • 제21권8호
    • /
    • pp.715-718
    • /
    • 2008
  • An in-line wet etch/cleaning system was established for the research and development in wet etch process. The system was equipped with a reverse moving system for the reduction in the size of the in-line wet etch/cleaning system and it was possible for the glass substrate to be moved back and forth and alternated in a wet etch bath. For the comparison of the effect of the normal motion and that of the alternating motion on the in-line wet etch process, indium tin oxide(ITO) pattern was obtained through both wet etch process conditions. The results showed that the alternating motion is not inferior to the normal motion in etch rate and in etch uniformity. It is concluded that the alternating motion is possible to be applied to the in-line etch process.

MEMS 가공을 위한 실리콘 Deep Etching 기술 연구 (A Study on Deep Etching technology for MEMS process)

  • 김진현;이종권;류근걸;이윤배;이미영;김우혁
    • 한국산학기술학회논문지
    • /
    • 제5권2호
    • /
    • pp.128-131
    • /
    • 2004
  • 본 연구에서는 STS-ICP ASEHR을 이용하여 Etch와 Deposition 공정을 반복하여 에칭을 하는 Bosch 식각에 관하여 연구하였다. 기본적인 Etch rate의 변화는 Etching하고자 하는 Wafer에 Deposition된 PR 또는 SiO₂의 두께와 Etching하고자 하는 Wafer의 Depth 및 Pattern size가 영향을 준다. 그러나 이러한 기본적인 변수 외에 STS-ICP ASEHR 장비의 Platen power, Coil power 및 Process pressure에 다양한 변화를 주어 각 변수에 따른 Etch rate을 관찰하였다. 각 공정별 변수를 준 결과 Platen power 12W, Coil power 500W, Etch/Passivation Cycle 6/7sec 일 경우 Etch rate은 1.2㎛/min 이었고, Sidewall prpfile은 90±0.2˚로 나타나 매우 우수한 결과를 보였다. 이는 ICP를 이용한 Bosch Process에 의한 결과임을 확인할 수 있었다.

  • PDF

습식식각공정에 의한 High Speed용 AlGaAs/GaAs 적외선 LED 소자의 특성 (The Properties of High Speed AlGaAs/GaAs Infrared LED by using Metal wet etch process)

  • 이철진;라용춘;성만영;이은철
    • 대한전기학회:학술대회논문집
    • /
    • 대한전기학회 1995년도 추계학술대회 논문집 학회본부
    • /
    • pp.352-354
    • /
    • 1995
  • The optical and electrical properties of High Speed AlGaAs infrared LED by using metal wet etch process instead of metal lift-off process are investigated. The power out increases when metal contact is patterned by wet etch process. Forward voltage and Reverse voltage for metal wet etch process represent higher value than the metal lift-off process. The aging effect of power out also indicates good results with wet etch process. The wet etch process for metal contact reveals reliable LED device properties.

  • PDF

New Plasma Etchant를 사용하여 Spacer dry etch 공정의 최적화 (Optimizing Spacer Dry Etch Process using New Plasma Etchant)

  • 이두성;김상현;남창우;고대홍
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.83-83
    • /
    • 2009
  • We studied about the effect of newly developed etchant for spacer etch process in gate patterning. With the 110nm CMOS technology, first, we changed the gate pattern size and investigated the variation of spacer etch profile according to the difference in gate length. Second, thickness of spacer nitride was changed and effect of etch ant on difference in nitride thickness was observed. In addition to these, spacer etch power was added as test item for variation of etch profile. We investigated the etch profiles with SEM and TEM analysis was used for plasma damage check. With these results we could check the process margins for gate patterning which could hold best performance and choose the condition for best spacer etch profile.

  • PDF

Al 식각정지층을 이용한 Nb-based SNS 조셉슨 접합의 제조공정 (Employing Al Etch Stop Layer for Nb-based SNS Josephson Junction Fabrication Process)

  • 최정숙;박정환;송운;정연욱
    • Progress in Superconductivity
    • /
    • 제12권2호
    • /
    • pp.114-117
    • /
    • 2011
  • We report our efforts on the development of Nb-based non-hysteretic Josephson junction fabrication process for quantu device applications. By adopting and modifying the existing Nb-aluminum oxide tunnel junction process, we develop a process for non-hysteretic Josephson junction circuits using metal-silicide as metallic barrier material. We use sputter deposition of Nb and $MoSi_2$, PECVD deposition of silicon oxide as insulator material, and ICP-RIE for metal and oxide etch. The advantage of the metal-silicide barrier in the Nb junction process is that it can be etched in $SF_6$ RIE together with Nb electrode. In order to define a junction area precisely and uniformly, end-point detection for the RIE process is critical. In this paper, we employed thin Al layer for the etch stop, and optimized the etch condition. We have successfully demonstrated that the etch stop properties of the inserted Al layer give a uniform etch profile and a precise thickness control of the base electrode in Nb trilayer junctions.

Bosch 공정에서 Si 식각속도와 식각프로파일에 대한 Ar 첨가의 영향 (Effects of Ar Addition on the Etch Rates and Etch Profiles of Si Substrates During the Bosch Process)

  • 지정민;조성운;김창구
    • Korean Chemical Engineering Research
    • /
    • 제51권6호
    • /
    • pp.755-759
    • /
    • 2013
  • Bosch 공정의 식각 단계에서 Ar을 첨가하였을 때 Si의 식각특성을 관찰하기 위하여 식각 단계에서 $SF_6$ 플라즈마만 사용한 경우와 Ar 유속비율이 20%인 $SF_6$/Ar 플라즈마를 각각 사용하여 Si을 Bosch 공정으로 식각하였다. Bosch 공정의 식각 단계에서 $SF_6$ 플라즈마에 Ar 가스를 첨가하면 $Ar^+$ 이온에 의한 이온포격이 증가하였고 이는 Si 입자의 스퍼터링을 초래할 뿐 아니라 F 라디칼과 Si의 화학반응을 가속하였다. 그 결과 식각 단계에서 20%의 Ar이 첨가되어 Bosch 공정으로 수행된 Si의 식각속도는 Ar이 첨가되지 않은 경우보다 10% 이상 빨라졌고 식각프로파일도 더욱 비등방적이었다. 이 연구의 결과는 Bosch 공정으로 Si을 식각할 때 식각속도와 식각프로파일의 비등방성을 개선하는데 필요한 기초자료로 사용될 수 있을 것으로 판단된다.

OES를 이용한 질화막/산화막의 식각 스펙트럼 데이터 분석 (Nitride/Oxide Etch Spectrum Data Verification by Using Optical Emission Spectroscopy)

  • 박수경;강동현;한승수;홍상진
    • 한국전기전자재료학회논문지
    • /
    • 제25권5호
    • /
    • pp.353-360
    • /
    • 2012
  • As semiconductor device technology continuously shrinks, low-open area etch process prevails in front-end etch process, such as contact etch as well as one cylindrical storage (OCS) etch. To eliminate over loaded wafer processing test, it is commonly performed to emply diced small coupons at stage of initiative process development. In nominal etch condition, etch responses of whole wafer test and coupon test may be regarded to provide similar results; however, optical emission spectroscopy (OES) which is frequently utilize to monitor etch chemistry inside the chamber cannot be regarded as the same, especially etch mask is not the same material with wafer chuck. In this experiment, we compared OES data acquired from two cases of etch experiments; one with coupon etch tests mounted on photoresist coated wafer and the other with coupons only on the chuck. We observed different behaviors of OES data from the two sets of experiment, and the analytical results showed that careful investigation should be taken place in OES study, especially in coupon size etch.

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2014년도 제46회 동계 정기학술대회 초록집
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

반송제어모드를 이용한 인라인 식각/세정장치의 ITO 전극형성기술 (ITO Patterning of an In-line Wet Etch/Cleaning System by using a Reverse Moving Control System)

  • 홍성재;임승혁;한형석;권상직;조의식
    • 제어로봇시스템학회논문지
    • /
    • 제14권4호
    • /
    • pp.327-331
    • /
    • 2008
  • An in-line wet etch/cleaning system was established for the research and development in wet etch process as a formation of electrode such as metal or transparent conductive oxide layer. A reverse moving system was equipped in the in-line wet etch/cleaning system for the alternating motion of glass substrate in a wet etch bath of the system. Therefore, it was possible for the glass substrate to be moved back and forth and it was possible to reduce the size of the system by using the reversing moving system. For the effect of the alternating motion of substrate on the etch rate in the in-line wet etch bath, indium tin oxide(ITO) patterns were obtained through wet etch process in the in-line system in which the substrate was moved back and forth. From the CD(critical dimension) skews resulted from the ADI CD and ACI CD of the ITO patterns, it was concluded that the alternating motion of glass substrate are possible to be applied to the mass production of wet etch process.

SiO$_2$식각 특성 개선을 위한 E-ICP와 ICP 식각 비교 (Improvement of SiO$_2$Etching Characteristics by E-ICP)

  • 정재성;김진우;라상호;오범환;박세근
    • 대한전자공학회:학술대회논문집
    • /
    • 대한전자공학회 1999년도 추계종합학술대회 논문집
    • /
    • pp.887-890
    • /
    • 1999
  • The etch characteristics of E-ICP and ICP are compared for the improvement of SiO$_2$ etch Process. Etch rate and etch pattern profile are measured by $\alpha$ -step surface profiler and SEM, respectively. The E-ICP provides improved characteristics on etch rate and surface profile in comparison to ICP process.

  • PDF