• Title/Summary/Keyword: etch process

Search Result 553, Processing Time 0.034 seconds

ITO Wet Etch Properties in an In-line Wet Etch/Cleaning System by using an Alternating Movement of Substrate (기판의 왕복 운동을 이용한 인라인 식각세정장치 내 ITO 식각특성)

  • Hong, Sung-Jae;Kwon, Sang-Jik;Cho, Eou-Sik
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.21 no.8
    • /
    • pp.715-718
    • /
    • 2008
  • An in-line wet etch/cleaning system was established for the research and development in wet etch process. The system was equipped with a reverse moving system for the reduction in the size of the in-line wet etch/cleaning system and it was possible for the glass substrate to be moved back and forth and alternated in a wet etch bath. For the comparison of the effect of the normal motion and that of the alternating motion on the in-line wet etch process, indium tin oxide(ITO) pattern was obtained through both wet etch process conditions. The results showed that the alternating motion is not inferior to the normal motion in etch rate and in etch uniformity. It is concluded that the alternating motion is possible to be applied to the in-line etch process.

A Study on Deep Etching technology for MEMS process (MEMS 가공을 위한 실리콘 Deep Etching 기술 연구)

  • 김진현;이종권;류근걸;이윤배;이미영;김우혁
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.5 no.2
    • /
    • pp.128-131
    • /
    • 2004
  • In this study Bosch etching process repeating etch and deposition by STS-ICP ASEHR was evaluated. Fundamentally etch depth changes were affected by thickness of deposited PR, $SiO_2$ and depth, and pattern size on the substrate. However etch rates were observed to be changed by variable parameters such as platen power, coil power, and process pressure. Etch rate showed $1.2\mu{m}/min$ and sidewall profile showed $90\pm0.2^\circ$ with platen power 12W, coil power 500W, and etch/passivation cycle 6/7sec. It was confirmed that this result was very typical to Bosch process utilizing ICP.

  • PDF

The Properties of High Speed AlGaAs/GaAs Infrared LED by using Metal wet etch process (습식식각공정에 의한 High Speed용 AlGaAs/GaAs 적외선 LED 소자의 특성)

  • Lee, Cheol-Jin;Ra, Yong-Choon;Sung, Man-Young;Lee, Eun-Chul
    • Proceedings of the KIEE Conference
    • /
    • 1995.11a
    • /
    • pp.352-354
    • /
    • 1995
  • The optical and electrical properties of High Speed AlGaAs infrared LED by using metal wet etch process instead of metal lift-off process are investigated. The power out increases when metal contact is patterned by wet etch process. Forward voltage and Reverse voltage for metal wet etch process represent higher value than the metal lift-off process. The aging effect of power out also indicates good results with wet etch process. The wet etch process for metal contact reveals reliable LED device properties.

  • PDF

Optimizing Spacer Dry Etch Process using New Plasma Etchant (New Plasma Etchant를 사용하여 Spacer dry etch 공정의 최적화)

  • Lee, Doo-Sung;Kim, Sang-Yeon;Nam, Chang-Woo;Ko, Dae-Hong
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.83-83
    • /
    • 2009
  • We studied about the effect of newly developed etchant for spacer etch process in gate patterning. With the 110nm CMOS technology, first, we changed the gate pattern size and investigated the variation of spacer etch profile according to the difference in gate length. Second, thickness of spacer nitride was changed and effect of etch ant on difference in nitride thickness was observed. In addition to these, spacer etch power was added as test item for variation of etch profile. We investigated the etch profiles with SEM and TEM analysis was used for plasma damage check. With these results we could check the process margins for gate patterning which could hold best performance and choose the condition for best spacer etch profile.

  • PDF

Employing Al Etch Stop Layer for Nb-based SNS Josephson Junction Fabrication Process (Al 식각정지층을 이용한 Nb-based SNS 조셉슨 접합의 제조공정)

  • Choi, J.S.;Park, J.H.;Song, W.;Chong, Y.
    • Progress in Superconductivity
    • /
    • v.12 no.2
    • /
    • pp.114-117
    • /
    • 2011
  • We report our efforts on the development of Nb-based non-hysteretic Josephson junction fabrication process for quantu device applications. By adopting and modifying the existing Nb-aluminum oxide tunnel junction process, we develop a process for non-hysteretic Josephson junction circuits using metal-silicide as metallic barrier material. We use sputter deposition of Nb and $MoSi_2$, PECVD deposition of silicon oxide as insulator material, and ICP-RIE for metal and oxide etch. The advantage of the metal-silicide barrier in the Nb junction process is that it can be etched in $SF_6$ RIE together with Nb electrode. In order to define a junction area precisely and uniformly, end-point detection for the RIE process is critical. In this paper, we employed thin Al layer for the etch stop, and optimized the etch condition. We have successfully demonstrated that the etch stop properties of the inserted Al layer give a uniform etch profile and a precise thickness control of the base electrode in Nb trilayer junctions.

Effects of Ar Addition on the Etch Rates and Etch Profiles of Si Substrates During the Bosch Process (Bosch 공정에서 Si 식각속도와 식각프로파일에 대한 Ar 첨가의 영향)

  • Ji, Jung Min;Cho, Sung-Woon;Kim, Chang-Koo
    • Korean Chemical Engineering Research
    • /
    • v.51 no.6
    • /
    • pp.755-759
    • /
    • 2013
  • The etch rate and etch profile of Si was investigated when Ar was added to an $SF_6$ plasma in the etch step of the Bosch process. A Si substrate was etched with the Bosch process using $SF_6$ and $SF_6$/Ar plasmas, respectively, in the etch step to analyze the effects of Ar addition on the etch characteristics of Si. When the Ar flow rate in the $SF_6$ plasma was increased, the etch rate of the Si substrate increased, had a maximum at 20% of the Ar flow rate, and then decreased. This was because the addition of Ar to the $SF_6$ plasma in the etch step of the Bosch process resulted in the bombardment of Ar ions on the Si substrate. This enhanced the chemical reactions (thus etch rates) between F radicals and Si as well as led to sputtering of Si particles. Consequently, the etch rate was higher more than 10% and the etch profile was more anisotropic when the Si substrate was etched with the Bosch process using a $SF_6$/Ar (20% of Ar flow rate) plasma during the etch step. This work revealed a feasibility to improve the etch rate and anisotropic etch profile of Si performed with the Bosch process.

Nitride/Oxide Etch Spectrum Data Verification by Using Optical Emission Spectroscopy (OES를 이용한 질화막/산화막의 식각 스펙트럼 데이터 분석)

  • Park, Soo-Kyoung;Kang, Dong-Hyun;Han, Seung-Soo;Hong, Sang-Jeen
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.5
    • /
    • pp.353-360
    • /
    • 2012
  • As semiconductor device technology continuously shrinks, low-open area etch process prevails in front-end etch process, such as contact etch as well as one cylindrical storage (OCS) etch. To eliminate over loaded wafer processing test, it is commonly performed to emply diced small coupons at stage of initiative process development. In nominal etch condition, etch responses of whole wafer test and coupon test may be regarded to provide similar results; however, optical emission spectroscopy (OES) which is frequently utilize to monitor etch chemistry inside the chamber cannot be regarded as the same, especially etch mask is not the same material with wafer chuck. In this experiment, we compared OES data acquired from two cases of etch experiments; one with coupon etch tests mounted on photoresist coated wafer and the other with coupons only on the chuck. We observed different behaviors of OES data from the two sets of experiment, and the analytical results showed that careful investigation should be taken place in OES study, especially in coupon size etch.

Endpoint Detection in Semiconductor Etch Process Using OPM Sensor

  • Arshad, Zeeshan;Choi, Somang;Jang, Boen;Hong, Sang Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2014.02a
    • /
    • pp.237.1-237.1
    • /
    • 2014
  • Etching is one of the most important steps in semiconductor manufacturing. In etch process control a critical task is to stop the etch process when the layer to be etched has been removed. If the etch process is allowed to continue beyond this time, the material gets over-etched and the lower layer is partially removed. On the other hand if the etch process is stopped too early, part of the layer to be etched still remains, called under-etched. Endpoint detection (EPD) is used to detect the most accurate time to stop the etch process in order to avoid over or under etch. The goal of this research is to develop a hardware and software system for EPD. The hardware consists of an Optical Plasma Monitor (OPM) sensor which is used to continuously monitor the plasma optical emission intensity during the etch process. The OPM software was developed to acquire and analyze the data to perform EPD. Our EPD algorithm is based on the following theory. As the etch process starts the plasma generated in the vacuum is added with the by-products from the etch reactions on the layer being etched. As the endpoint reaches and the layer gets completely removed the plasma constituents change gradually changing the optical intensity of the plasma. Although the change in optical intensity is not apparent, the difference in the plasma constituents when the endpoint has reached leaves a unique signature in the data gathered. Though not detectable in time domain, this signature could be obscured in the frequency spectrum of the data. By filtering and analysis of the changes in the frequency spectrum before and after the endpoint we could extract this signature. In order to do that, first, the EPD algorithm converts the time series signal into frequency domain. Next the noise in the frequency spectrum is removed to look for the useful frequency constituents of the data. Once these useful frequencies have been selected, they are monitored continuously in time and using a sub-algorithm the endpoint is detected when significant changes are observed in those signals. The experiment consisted of three kinds of etch processes; ashing, SiO2 on Si etch and metal on Si etch to develop and evaluate the EPD system.

  • PDF

ITO Patterning of an In-line Wet Etch/Cleaning System by using a Reverse Moving Control System (반송제어모드를 이용한 인라인 식각/세정장치의 ITO 전극형성기술)

  • Hong, Sung-Jae;Im, Seoung-Hyeok;Han, Hyung-Seok;Kwon, Sang-Jik;Cho, Eou-Sik
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.14 no.4
    • /
    • pp.327-331
    • /
    • 2008
  • An in-line wet etch/cleaning system was established for the research and development in wet etch process as a formation of electrode such as metal or transparent conductive oxide layer. A reverse moving system was equipped in the in-line wet etch/cleaning system for the alternating motion of glass substrate in a wet etch bath of the system. Therefore, it was possible for the glass substrate to be moved back and forth and it was possible to reduce the size of the system by using the reversing moving system. For the effect of the alternating motion of substrate on the etch rate in the in-line wet etch bath, indium tin oxide(ITO) patterns were obtained through wet etch process in the in-line system in which the substrate was moved back and forth. From the CD(critical dimension) skews resulted from the ADI CD and ACI CD of the ITO patterns, it was concluded that the alternating motion of glass substrate are possible to be applied to the mass production of wet etch process.

Improvement of SiO$_2$Etching Characteristics by E-ICP (SiO$_2$식각 특성 개선을 위한 E-ICP와 ICP 식각 비교)

  • 정재성;김진우;라상호;오범환;박세근
    • Proceedings of the IEEK Conference
    • /
    • 1999.11a
    • /
    • pp.887-890
    • /
    • 1999
  • The etch characteristics of E-ICP and ICP are compared for the improvement of SiO$_2$ etch Process. Etch rate and etch pattern profile are measured by $\alpha$ -step surface profiler and SEM, respectively. The E-ICP provides improved characteristics on etch rate and surface profile in comparison to ICP process.

  • PDF