• 제목/요약/키워드: etch

검색결과 1,365건 처리시간 0.029초

Effect of various bleaching treatments on shear bond strength of different universal adhesives and application modes

  • Oz, Fatma Dilsad;Kutuk, Zeynep Bilge
    • Restorative Dentistry and Endodontics
    • /
    • 제43권2호
    • /
    • pp.20.1-20.9
    • /
    • 2018
  • Objectives: The aim of this in vitro study was to evaluate the bond strength of 2 universal adhesives used in different application modes to bleached enamel. Materials and Methods: Extracted 160 sound human incisors were used for the study. Teeth were divided into 4 treatment groups: No treatment, 35% hydrogen peroxide, 16% carbamid peroxide, 7.5% carbamid peroxide. After bleaching treatments, groups were divided into subgroups according to the adhesive systems used and application modes (n = 10): 1) Single Bond Universal, etch and rinse mode; 2) Single Bond Universal, self-etch mode; 3) Gluma Universal, etch and rinse mode; 4) Gluma Universal, self-etch mode. After adhesive procedures nanohybrid composite resin cylinders were bonded to the enamel surfaces. All specimens were subjected to shear bond strength (SBS) test after thermocycling. Data were analyzed using a 3-way analysis of variance (ANOVA) and Tukey post hoc test. Results: No significant difference were found among bleaching groups (35% hydrogen peroxide, 16% carbamid peroxide, 7.5% carbamid peroxide, and no treatment groups) in the mean SBS values. There was also no difference in SBS values between Single Bond Universal and Gluma Universal at same application modes, whereas self-etch mode showed significantly lower SBS values than etch and rinse mode (p < 0.05). Conclusions: The bonding performance of the universal adhesives was enhanced with the etch and rinse mode application to bleached enamel and non-bleached enamel.

$Ar/Cl_{2}/CF_{4}$ 코밀도 플라즈마를 이용한 강유전체 $YMnO_3$의 건식식각 특성연구 (Dry Etch Characteristic of Ferroelectric $YMnO_3$ Thin Films Using High Density $Ar/Cl_{2}/CF_{4}$ $PAr/Cl_{2}/CF_{4}$)

  • 박재화;김창일;장의구;이철인;이병기
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집
    • /
    • pp.213-216
    • /
    • 2001
  • Etching behaviors of ferroelectric YMn $O_3$ thin films were studied by an inductively coupled plasma (ICP). Etch characteristic on ferroelectric YMn $O_3$ thin film have been investigated in terms of etch rate, selectivity and etch profile. The maximum etch rate of YMn $O_3$ thin film is 300 $\AA$/min at Ar/C $l_2$ of 2/8, RF power of 800W, dc bias voltage of 200V, chamber pressure of 15mTorr and substrate temperature of 3$0^{\circ}C$. Addition of C $F_4$ gas decrease the etch rate of YMn $O_3$ thin film. From the results of XPS analysis, Y $F_{X}$ compunds were found on the surface of YMn $O_3$ thin film which is etched in Ar/C1/C $F_4$ plasma. The etch profile of YMn $O_3$ film is improved by addition of C $F_4$ gas into the Ar/C $l_2$ plasma. These results suggest that fluoride yttrium acts as a sidewall passivants which reduce the sticking coefficient of chlorine on YMn $O_3$.>.

  • PDF

병렬 플라즈마 소스를 이용한 마이크로 LED 소자 제작용 GaN 식각 공정 시스템 개발 (GaN Etch Process System using Parallel Plasma Source for Micro LED Chip Fabrication)

  • 손보성;공대영;이영웅;김희진;박시현
    • 반도체디스플레이기술학회지
    • /
    • 제20권3호
    • /
    • pp.32-38
    • /
    • 2021
  • We developed an inductively coupled plasma (ICP) etcher for GaN etching using a parallel plasma electrode source with a multifunctional chuck matched to it in order for the low power consumption and low process cost in comparison with the conventional ICP system with a helical-type plasma electrode source. The optimization process condition using it for the micro light-emitting diode (µ-LED) chip fabrication was established, which is an ICP RF power of 300 W, a chuck power of 200 W, a BCl3/Cl2 gas ratio of 3:2. Under this condition, the mesa structure with the etch depth over 1 ㎛ and the etch angle over 75° and also with no etching residue was obtained for the µ-LED chip. The developed ICP showed the improved values on the process pressure, the etch selectivity, the etch depth uniformity, the etch angle profile and the substrate temperature uniformity in comparison with the commercial ICP. The µ-LED chip fabricated using the developed ICP showed the similar or improved characteristics in the L-I-V measurements compared with the one fabricated using the conventional ICP method

Formation of uniform etch fits on Aluminum film for high performance metal capacitor

  • 김태유;김남정;최우성;서수정
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2011년도 춘계학술대회 및 Fine pattern PCB 표면 처리 기술 워크샵
    • /
    • pp.115-115
    • /
    • 2011
  • 고성능 금속 커패시터 개발을 목적으로 aluminum film에 균일한 etch fit를 형성하는 연구를 진행하였다. Etch mask로 PI를 사용하여 Aluminum film에 균일한 형태의 etch fit를 형성하였다. 균일하게 에칭 된 aluminum film들은 capacitance를 측정하여 에칭 조건에 따른 capacitance 변화를 확인하였다.

  • PDF

Magnetized inductively coupled plasma etching of GaN in $Cl_2/BCl_3$ plasmas

  • Lee, Y.H.;Sung, Y.J.;Yeom, G.Y.
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 1999년도 추계학술발표회 초록집
    • /
    • pp.49-49
    • /
    • 1999
  • In this study, $Cl_2/BCI_3$ magnetized inductively coupled plasmas (MICP) were used to etch GaN and the effects of magnetic confinements of inductively coupled plasmas on the GaN etch characteristics were investigated as a function of $Cl_2/BCI_3$. Also, the effects of Kr addition to the magnetized $Cl_2/BCI_3$ plasmas on the GaN etch rates were investigated. The characteristics of the plasmas were estimated using a Langmuir probe and quadrupole ma~s spectrometry (QMS). Etched GaN profiles were observed using scanning electron microscopy (SEM). The small addition of $Cl_2/BCI_3$ (10-20%) in $Cl_2$ increased GaN etch rates for both with and without the magnetic confinements. The application of magnetic confinements to the $Cl_2/BCI_3$ inductively coupled plasmas (ICP) increased GaN etch rates and changed the $Cl_2/BCI_3$ gas composition of the peak GaN etch rate from 10% $BCI_3$ to 20% $BCI_3$. It also increased the etch selectivity over photoresist, while slightly reducing the selectivity over $Si0_2$. The application of the magnetic field significantly increased positive $BCI_2{\;}^+$ measured by QMS and total ion saturation current measured by the Langmuir probe. Other species such as CI, BCI, and CI+ were increased while species such as $BCl_2$ and $BCI_3$ were decreased with the application of the magnetic field. Therefore, it appears that the increase of GaN etch rate in our experiment is related to the increased dissociative ionization of $BCI_3$ by the application of the magnetic field. The addition of 10% Kr in an optimized $Cl_2/BCI_3$ condition (80% $Cl_2/$ 20% $BCI_3$) with the magnets increased the GaN etch rate about 60%. More anisotropic GaN etch profile was obtained with the application of the magnetic field and a vertical GaN etch profile could be obtained with the addition of 10% Kr in an optimized $Cl_2/BCI_3$ condition with the magnets.

  • PDF

Model-Based Analysis of the $ZrO_2$ Etching Mechanism in Inductively Coupled $BCl_3$/Ar and $BCl_3/CHF_3$/Ar Plasmas

  • Kim, Man-Su;Min, Nam-Ki;Yun, Sun-Jin;Lee, Hyun-Woo;Efremov, Alexander M.;Kwon, Kwang-Ho
    • ETRI Journal
    • /
    • 제30권3호
    • /
    • pp.383-393
    • /
    • 2008
  • The etching mechanism of $ZrO_2$ thin films and etch selectivity over some materials in both $BCl_3$/Ar and $BCl_3/CHF_3$/Ar plasmas are investigated using a combination of experimental and modeling methods. To obtain the data on plasma composition and fluxes of active species, global (0-dimensional) plasma models are developed with Langmuir probe diagnostics data. In $BCl_3$/Ar plasma, changes in gas mixing ratio result in non-linear changes of both densities and fluxes for Cl, $BCl_2$, and ${BCl_2}^+$. In this work, it is shown that the non-monotonic behavior of the $ZrO_2$ etch rate as a function of the $BCl_3$/Ar mixing ratio could be related to the ion-assisted etch mechanism and the ion-flux-limited etch regime. The addition of up to 33% $CHF_3$ to the $BCl_3$-rich $BCl_3$Ar plasma does not influence the $ZrO_2$ etch rate, but it non-monotonically changes the etch rates of both Si and $SiO_2$. The last effect can probably be associated with the corresponding behavior of the F atom density.

  • PDF

XPS와 SEM을 이용한 폴리실리콘 표면에 형성된 잔류막에 대한 연구 (A Study on the Polysilicon Etch Residue by XPS and SEM)

  • 김태형;이종완;최상준;이창원
    • 한국진공학회지
    • /
    • 제7권3호
    • /
    • pp.169-175
    • /
    • 1998
  • HBr/$Cl_2/He-O_2$ 반응 기체를 이용한 반응성 이온 식각후, 폴리실리콘 표면에 형성된 잔류막을 x-선 광전자 분광법(x-ray photoelectron spectroscopy, XPS)과 전자 현미경 (scanning electron mocroscopy, SEM)을 이용하여 관찰하였다. 그 결과 잔류물은 패턴된 폴 리실리콘의 맨 윗부분에 자존하고 있었으며, 화학 결합 상태는 실리콘 산화물임이 밝혀졌다. 잔류물인 실리콘 산화물의 형성 메카니즘을 규명하기 위하여 원래의 혼합 기체 성분중 한가 지씩의 반응 기체를 제외시켜 가면서 실험하였다. 비록 플라즈마 성질이 다를지라도, 잔류물 은 산소의 존재하에서 잘 형성됨을 알 수 있었는데, 이는 휘발성이 낮은 실리콘-할로겐 화 합물이 산소에 의해 산화됨으로써 형성되는 것으로 이해하게 되었다. 또한 반응성 이온 식 각후 형성된 잔류층은 소자의 전기적 특성과 후처리 공정에 영향을 미치는 것으로 알려져 있어서, 이를 제거하기 위해 습식과 건식 후처리 공정을 도입하여 비교하였다. 그 결과 건식 공정의 경우 기체에 의해 새로운 잔류물이 형성됨을 XPS를 통하여 관찰하였다. 따라서 잔 류물을 제거하고 깨끗한 표면을 얻기 위해서는 습식 공정이 더 적합함을 알았다.

  • PDF

The Influence of He flow on the Si etching procedure using chlorine gas

  • Kim, J.W.;Park, J.H.;M.Y. Jung;Kim, D.W.;Park, S.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.65-65
    • /
    • 1999
  • Dry etching technique provides more easy controllability on the etch profile such as anisotropic etching than wet etching process and the results of lots of researches on the characterization of various plasmas or ion beams for semiconductor etching have been reported. Chlorine-based plasmas or chlorine ion beam have been often used to etch several semiconductor materials, in particular Si-based materials. We have studied the effect of He flow rate on the Si and SiO2 dry etching using chlorine-based plasma. Experiments were performed using reactive ion etching system. RF power was 300W. Cl2 gas flow rate was fixed at 58.6 sccm, and the He flow rate was varied from 0 to 120 sccm. Fig. 1 presents the etch depth of si layer versus the etching time at various He flow rate. In case of low He flow rate, the etch rate was measured to be negligible for both Si and SiO2. As the He flow increases over 30% of the total inlet gas flow, the plasma state becomes stable and the etch rate starts to increase. In high Ge flow rate (over 60%), the relation between the etch depth and the time was observed to be nearly linear. Fig. 2 presents the variation of the etch rate depending on the He flow rate. The etch rate increases linearly with He flow rate. The results of this preliminary study show that Cl2/He mixture plasma is good candidate for the controllable si dry etching.

  • PDF

Cl2/HBr/O2 고밀도 플라즈마에서 비정질 실리콘 게이트 식각공정 특성 (Characteristics of Amorphous Silicon Gate Etching in Cl2/HBr/O2 High Density Plasma)

  • 이원규
    • Korean Chemical Engineering Research
    • /
    • 제47권1호
    • /
    • pp.79-83
    • /
    • 2009
  • 본 연구에서 고밀도 플라즈마 식각 장치를 사용한 비정질 실리콘 막의 게이트 전극선 형성공정에서 여러 가지 식각 변수가 치수 제어와 식각 속도 및 식각 선택비 등 식각 특성에 미치는 영향을 분석하였다. $Cl_2/HBr/O_2$로 구성된 식각 기체의 전체 유량을 증가시키면 비정질 실리콘의 식각 속도가 증가하나 식각 전후의 형상치수는 변화없이 거의 일정하였다. 전체 유량을 고정시키고 $Cl_2$와 HBr 간의 유량비를 변화시키면 HBr의 유량이 커질수록 비정질 실리콘의 식각 속도가 감소하였다. $O_2$의 유량을 증가시키면 산화막의 식각 속도가 상대적으로 낮아져 식각 선택비를 증가시켜 식각 공정의 안정성을 높이나 게이트 전극선을 경사지게 하는 특성을 보인다. Source power의 증가는 비정질 실리콘 식각 속도의 증가와 더불어 형상치수의 증가를 가져오며, bias power의 증가는 비정질 실리콘과 산화막의 식각 속도를 증가시키나 식각 선택비를 크게 감소시키는 경향을 보였다.

STI CMP후 Topology에 따른 Gate Etch, Transistor 특성 변화 (Property variation of transistor in Gate Etch Process versus topology of STI CMP)

  • 김상용;정헌상;박민우;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.181-184
    • /
    • 2001
  • Chemical Mechanical Polishing(CMP) of Shallow Trench Isolation(STD structure in 0.18 m semiconductor device fabrication is studied. CMP process is applied for the STI structure with and without reverse moat pattern and End Point Detection (EPD) method is tested. To optimize the transistor properties related metal 1 parameters. we studied the correlation between CMP thickness of STI using high selectivity slurry. DOE of gate etch recipe, and 1st metal DC values. Remaining thickness of STI CMP is proportional to the thickness of gate-etch process and this can affect to gate profile. As CMP thickness increased. the N-poly foot is deteriorated. and the P-Poly Noth is getting better. If CD (Critical Dimension) value is fixed at some point,, all IDSN/P values are in inverse proportional to CMP thickness by reason of so called Profile Effect. Weve found out this phenomenon in all around DOE conditions of Gate etch process and we also could understand that it would not have any correlation effects between VT and CMP thickness in the range of POE 120 sec conditions. As CMP thickness increased by $100\AA$. 3.2 $u\AA$ of IDSN is getting better in base 1 condition. In POE 50% condition. 1.7 $u\AA$ is improved. and 0.7 $u\AA$ is improved in step 2 condition. Wed like to set the control target of CD (critical dimension) in gate etch process which can affect Idsat, VT property versus STI topology decided by CMP thickness. We also would like to decide optimized thickness target of STI CMP throughout property comparison between conventional STI CMP with reverse moat process and newly introduced STI CMP using high selectivity slurry. And we studied the process conditions to reduce Gate Profile Skew of which source known as STI topology by evaluation of gate etch recipe versus STI CMP thickness.

  • PDF