• 제목/요약/키워드: etch

검색결과 1,365건 처리시간 0.026초

Bosch 공정에서 Si 식각속도와 식각프로파일에 대한 Ar 첨가의 영향 (Effects of Ar Addition on the Etch Rates and Etch Profiles of Si Substrates During the Bosch Process)

  • 지정민;조성운;김창구
    • Korean Chemical Engineering Research
    • /
    • 제51권6호
    • /
    • pp.755-759
    • /
    • 2013
  • Bosch 공정의 식각 단계에서 Ar을 첨가하였을 때 Si의 식각특성을 관찰하기 위하여 식각 단계에서 $SF_6$ 플라즈마만 사용한 경우와 Ar 유속비율이 20%인 $SF_6$/Ar 플라즈마를 각각 사용하여 Si을 Bosch 공정으로 식각하였다. Bosch 공정의 식각 단계에서 $SF_6$ 플라즈마에 Ar 가스를 첨가하면 $Ar^+$ 이온에 의한 이온포격이 증가하였고 이는 Si 입자의 스퍼터링을 초래할 뿐 아니라 F 라디칼과 Si의 화학반응을 가속하였다. 그 결과 식각 단계에서 20%의 Ar이 첨가되어 Bosch 공정으로 수행된 Si의 식각속도는 Ar이 첨가되지 않은 경우보다 10% 이상 빨라졌고 식각프로파일도 더욱 비등방적이었다. 이 연구의 결과는 Bosch 공정으로 Si을 식각할 때 식각속도와 식각프로파일의 비등방성을 개선하는데 필요한 기초자료로 사용될 수 있을 것으로 판단된다.

Halogen-based Inductive Coupled Plasma에서의 W 식각시 첨가 가스의 효과에 관한 연구

  • 박상덕;이영준;염근영;김상갑;최희환;홍문표
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 2003년도 춘계학술발표회 초록집
    • /
    • pp.41-41
    • /
    • 2003
  • 텅스텐(W)은 높은 thermal stability 와 process compatibility 및 우수한 corrosion r resistance 둥으로 integrated circuit (IC)의 gate 및 interconnection 둥으로의 활용이 대두되고 있으며, 차세대 thin film transistor liquid crystal display (TFT-LCD)의 gate 및 interconnection m materials 둥으로 사용되고 았다. 그러나, 이러한 장점을 가지고 있는 팅스텐 박막이 실제 공정상에 적용되가 위해서는 건식 식각이 주로 사용되는데, 이는 wet chemical 을 이용한 습식 식각을 사용할 경우 낮은 etch rate, line width 의 감소 및 postetch residue 잔류 동의 문제가 발생하기 때문이다. 또한 W interconnection etching 을 하기 위해서는 높은 텅스텐 박막의 etch rate 과 하부 layer ( (amorphous silicon 또는 poly-SD와의 높은 etch selectivity 가 필수적 이 라 할 수 있다. 그러 나, 지금까지 연구되어온 결과에 따르면 텅스탠과 하부 layer 와의 etch selectivity 는 2 이하로 매우 낮게 관찰되고 았으며, 텅스텐의 etch rate 또한 150nm/min 이하로 낮은 값을 나타내고 있다. 따라서 본 연구에서는 halogen-based inductively coupled plasma 를 이용하여 텅스텐 박막 식각시 여러 가지 첨가 가스에 따른 높은 텅스탠 박막의 etch rate 과 하부 layer 와의 높은 etch s selectivity 를 얻고자 하였으며, 그에 따른 식각 메커니즘에 대하여 알아보고자 하였다. $CF_4/Cl_2$ gas chemistry 에 첨 가 가스로 $N_2$와 Ar을 첨 가할 경 우 텅 스텐 박막과 하부 layer 간의 etch selectivity 증가는 관찰되지 않았으며, 반면에 첨가 가스로 $O_2$를 사용할 경우, $O_2$의 첨가량이 증가함에 따라 etch s selectivity 는 계속적으로 증가렴을 관찰할 수 있었다. 이는 $O_2$ 첨가에 따라 형성되는 WOF4 에 의한 텅스텐의 etch rates 의 감소에 비하여, $Si0_2$ 등의 형성에 의한 poly-Si etch rates 이 더욱 크게 감소하였기 때문으로 사료된다. W 과 poly-Si 의 식각 특성을 이해하기 위하여 X -ray photoelectron spectroscopy (XPS)를 사용하였으며, 식각 전후의 etch depth 를 측정하기 위하여 stylus p pmfilometeT 를 이용하였다.

  • PDF

$SF_6$플라즈마를 이용한 텅스텐 박막의 반응성이온식각에 관한 실험적 연구 (Experimental Study of Reactive Ion Etching of Tungsten Films Using $SF_6$ Plasma)

  • 박상규;서성우;이시우
    • 전자공학회논문지A
    • /
    • 제30A권7호
    • /
    • pp.60-74
    • /
    • 1993
  • Experiments of RIE of tungsten films using SF$_{6}$ plasma were conducted to investigate the effect of process parameters on etch rate, uniformity, anisotropy, and selectivity. As power increased, the etch rate increased. Maximum etch rate was obtained at 200mtorr As interelectrode spacing increased the etch rate increased for P < 200mtorr while it decreased for P> 200mtorr. Etch rate was maximum at 20 sccm gas flow rate. As substrate temperature increased, the etch rate increased and activation energy was 0.046 eV. In addition, maximum etch rate was acquired at 20% $O_{2}$ addition. The etch rate slightly increased when Ar was added up to 20% while it continuously decreased when N$_{2}$ was added. Uniformity got improved as pressure decreased and was less than 4% for P <100mtorr. Mass spectrometer was utilized to analyze gas composition and S and F peaks were observed from XPS analysis with increasing power. The anisotropy was better for smaller power and spacing, and lower pressure and temperature. It improved when CH$_{4}$ was added and anisotropic etch profile was obtained when about 10% $O_{2}$ was added. The selectjvity was better for smaller power larger pressure and spacing, and lower temperature. Especially. low temperature processing was proposed as a novel method to improve the anisotropy and selectivity.

  • PDF

TMAH/IPA/Pyrazine용액에 있어서 전기화학적 식각정지 특성 (Electrochemical Etch-stop Characteristics of TMAH:IPA:Pyrazine Solutions)

  • 정귀상;이채봉
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2000년도 춘계학술대회 논문집 전자세라믹스 센서 및 박막재료 반도체재료 일렉트렛트 및 응용기술
    • /
    • pp.147-151
    • /
    • 2000
  • This paper presents the electrochemical etch-stop characteristics of single-crystal silicon in a tetramethyl ammonium hyciroxide(TMAH):isopropyl alcohol(IPA):pyrazine solution. Addition of pyrazine to a TMAH:IPA etchant increases the etch-rate of (100) silicon, thus the elapsed time for etch-stop was shortened. The current-voltage(I-V) characteristics of n- and p-type silicon in a TMAH:IPA:pyrazine solution were obtained, respectively. Open circuit potential(OCP) and passivation potential(PP) of n- and p-type silicon, respectively, were obtained and applied potential was selected between n- and p-type silicon PP. The electrochemical etch-stop is applied to the fabrication of 801 microdiaphragms having $20\;{\mu}m$ thickness on a 5-inch silicon wafer. The averge thicknesses of 801 microdiaphragms fabricated on the one wafer were $20.03\;{\mu}m$ and standard deviation was ${\pm}0.26{\mu}m$. The silicon surface of the etch-stopped microdiaphragm was extremely flat without noticeable taper or other nonuniformities. The benefits of the electrochemical etch-stop in a TMAH:IPA:pyrazine solution become apparent when reproducibility in the microdiaphragm thickness for mass production is considered. These results indicate that the electrochemical etch-stop in a TMAH:IPA:pyrazine solution provides a powerful and versatile alternative process for fabricating high-yield silicon microdiaphragms.

  • PDF

The Dry Etching Properties of ZnO Thin Film in Cl2/BCl3/Ar Plasma

  • Woo, Jong-Chang;Kim, Chang-Il
    • Transactions on Electrical and Electronic Materials
    • /
    • 제11권3호
    • /
    • pp.116-119
    • /
    • 2010
  • The etching characteristics of zinc oxide (ZnO) were investigated, including the etch rate and the selectivity of ZnO in a $Cl_2/BCl_3$/Ar plasma. It was found that the ZnO etch rate, the RF power, and the gas pressure showed non-monotonic behaviors with an increasing Cl2 fraction in the $Cl_2/BCl_3$/Ar plasma, a gas mixture of $Cl_2$(3 sccm)/$BCl_3$(16 sccm)/Ar (4 sccm) resulted in a maximum ZnO etch rate of 53 nm/min and a maximum etch selectivity of 0.89 for ZnO/$SiO_2$. We used atomic force microscopy to determine the roughness of the surface. Based on these data, the ion-assisted chemical reaction was proposed as the main etch mechanism for the plasmas. Due to the relatively low volatility of the by-products formed during etching with $Cl_2/BCl_3$/Ar plasma, ion bombardment and physical sputtering were required to obtain the high ZnO etch rate. The chemical states of the etched surfaces were investigated using X-ray photoelectron spectroscopy (XPS). This data suggested that the ZnO etch mechanism was due to ion enhanced chemical etching.

자성 박막의 습식 식각 특성 (Wet Etch Characteristics of Magnetic Thin Films)

  • 변요한;정지원
    • 한국전기전자재료학회논문지
    • /
    • 제15권2호
    • /
    • pp.105-109
    • /
    • 2002
  • The wet etching characteristics of magnetic materials such as NiFe and CoFe were investigated in terms of etch rate and etch profile by using variouus etching solutions (etchants). Among the various etching solutions, HNO$_3$, HCl, and H$_2$SO$_4$were selected for the etching of magnetic materials and showed distinct results. In the case of NiFe films, faster etch rate were obtained with HNO$_3$solution. When NiFe films ere etched with HCl solution, white etch residues were found on the surface of etched films. From FEAES analysis of these etch residues, they were proved to be by-product from the reaction of NiFe with Cl element. CoFe thin films showed the similar trend to the case of NiFe films. They were etched fast in HNO$_3$ solution while Chl solution represented slow etching. The etch profiles of CoFe films showed smooth etch profile but revealed the partial etching around the patterns in HNO$_3$solution of relatively high concentration. It was observed that the etched surface was clean and smooth, and that white etch residues were also remained on the etched films.

Capacitively Coupled Plasma Source를 이용한 Etcher의 상부 전극 온도 변화에 따른 Etch 특성 변화 개선 (Improvement of Repeatability during Dielectric Etching by Controlling Upper Electrode Temperature)

  • 신한수;노용한;이내응
    • 한국진공학회지
    • /
    • 제20권5호
    • /
    • pp.322-326
    • /
    • 2011
  • 상부 전극에 RF power 가 직접 인가되는 capacitively coupled plasma source를 이용한 oxide layer etching 공정은 현재 반도체 제조 공정에서 매우 유용하게 사용되고 있는 방식이다. 그러나 디바이스의 사이즈가 점점 작아지면서 공정을 진행하기 위한 RF power도 커지고, plasma ignition 되는 electrode 사이의 간격도 점점 좁아지는 기술적 변화가 이루어지고 있다. 이러한 H/W의 변화에 따라 예상치 못한 문제들로 공정을 적용하는데 많은 문제점이 발생하고 있는데, 공정 진행 시에 plasma의 영향으로 인한 electrode의 온도 변화도 그 중 하나이다. 이러한 온도 변화로 인해 wafer to wafer의 공정 진행 결과가 서로 다르게 나타나게 하는 문제가 야기되고 있다. 아래의 내용에서는 상부 electrode의 온도 변화에 따른 etch 특성을 연구하고, 이를 개선할 수 있는 방법에 대해 논하고자 한다.

Etching characteristics of Al-Nd alloy thin films using magnetized inductively coupled plasma

  • Lee, Y.J.;Han, H.R.;Yeom, G.Y.
    • 한국표면공학회:학술대회논문집
    • /
    • 한국표면공학회 1999년도 추계학술발표회 초록집
    • /
    • pp.56-56
    • /
    • 1999
  • For advanced TFT-LCD manufacturing processes, dry etching of thin-film layers(a-Si, $SiN_x$, SID & gate electrodes, ITO etc.) is increasingly preferred instead of conventional wet etching processes. To dry etch Al gate electrode which is advantageous for reducing propagation delay time of scan signals, high etch rate, slope angle control, and etch uniformity are required. For the Al gate electrode, some metals such as Ti and Nd are added in Al to prevent hillocks during post-annealing processes in addition to gaining low-resistivity($<10u{\Omega}{\cdot}cm$), high performance to heat tolerance and corrosion tolerance of Al thin films. In the case of AI-Nd alloy films, however, low etch rate and poor selectivity over photoresist are remained as a problem. In this study, to enhance the etch rates together with etch uniformity of AI-Nd alloys, magnetized inductively coupled plasma(MICP) have been used instead of conventional ICP and the effects of various magnets and processes conditions have been studied. MICP was consisted of fourteen pairs of permanent magnets arranged along the inside of chamber wall and also a Helmholtz type axial electromagnets was located outside the chamber. Gas combinations of $Cl_2,{\;}BCl_3$, and HBr were used with pressures between 5mTorr and 30mTorr, rf-bias voltages from -50Vto -200V, and inductive powers from 400W to 800W. In the case of $Cl_2/BCl_3$ plasma chemistry, the etch rate of AI-Nd films and etch selectivity over photoresist increased with $BCl_3$ rich etch chemistries for both with and without the magnets. The highest etch rate of $1,000{\AA}/min$, however, could be obtained with the magnets(both the multi-dipole magnets and the electromagnets). Under an optimized electromagnetic strength, etch uniformity of less than 5% also could be obtained under the above conditions.

  • PDF

The Influence of $O_2$ Gas on the Etch Characteristics of FePt Thin Films in $CH_4/O_2/Ar$ gas

  • Lee, Il-Hoon;Lee, Tea-Young;Chung, Chee-Won
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.408-408
    • /
    • 2012
  • It is well known that magnetic random access memory (MRAM) is nonvolatile memory devices using ferromagnetic materials. MRAM has the merits such as fast access time, unlimited read/write endurance and nonvolatility. Although DRAM has many advantages containing high storage density, fast access time and low power consumption, it becomes volatile when the power is turned off. Owing to the attractive advantages of MRAM, MRAM is being spotlighted as an alternative device in the future. MRAM consists of magnetic tunnel junction (MTJ) stack and complementary metal- oxide semiconductor (CMOS). MTJ stacks are composed of various magnetic materials. FePt thin films are used as a pinned layer of MTJ stack. Up to date, an inductively coupled plasma reactive ion etching (ICPRIE) method of MTJ stacks showed better results in terms of etch rate and etch profile than any other methods such as ion milling, chemical assisted ion etching (CAIE), reactive ion etching (RIE). In order to improve etch profiles without redepositon, a better etching process of MTJ stack needs to be developed by using different etch gases and etch parameters. In this research, influences of $O_2$ gas on the etching characteristics of FePt thin films were investigated. FePt thin films were etched using ICPRIE in $CH_4/O_2/Ar$ gas mix. The etch rate and the etch selectivity were investigated in various $O_2$ concentrations. The etch profiles were studied in varying etch parameters such as coil rf power, dc-bias voltage, and gas pressure. TiN was employed as a hard mask. For observation etch profiles, field emission scanning electron microscopy (FESEM) was used.

  • PDF

Si Nanodot 배열의 형성을 위한 NbOx 나노기둥 마스크의 식각 특성 (Etch Characteristics of NbOx Nanopillar Mask for the Formation of Si Nanodot Arrays)

  • 박익현;이장우;정지원
    • 공업화학
    • /
    • 제17권3호
    • /
    • pp.327-330
    • /
    • 2006
  • Si nanodot 배열을 형성하기 위하여 $NbO_{x}$ nanopillar를 건식식각 공정의 식각마스크로써 이용하기 위한 가능성이 조사되었다. $NbO_{x}$ nanopillar는 Al과 Nb의 양극산화 공정을 이용하여 준비되었다. $NbO_{x}$ nanopillar의 식각속도와 식각프로파일은 고밀도 플라즈마를 이용한 반응성 이온 식각법에 의해서 식각가스의 농도와 coil rf power, 그리고 dc bias voltage를 각각 변화시키면서 조사 되었다. $Cl_{2}$ 가스의 농도가 증가할수록 $NbO_{x}$ nanopillar의 식각속도는 감소하였고 coil rf power와 dc bias voltage의 증가는 식각속도의 상승을 초래했다. 선택된 식각조건에서 식각시간을 변화하여 $NbO_{x}$ nanopillar의 식각특성 및 식각메커니즘이 조사되었다.