• Title/Summary/Keyword: emission mask

Search Result 70, Processing Time 0.032 seconds

A Study on Technical Standard and Guard Band for Wireless Local Loop facilities at 260Hz Band (26GHz대 무선가입자회선용 무선설비의 가드 밴드와 기술기준 연구)

  • 박승근;조경록
    • Proceedings of the Korean Institute of Information and Commucation Sciences Conference
    • /
    • 2000.05a
    • /
    • pp.77-81
    • /
    • 2000
  • The content of this paper is concerned with guard band and technical standard for wireless local loop facilities will be used at 260Hz domestic frequency band. In order to determine guard band between wireless local loop providers, this paper analyze radio interferences from radio station used adjacent frequency band. The paper proposes draft Out-of-Block Emission Mask of for wireless focal loop facilities in accordance with ITU-R Recommendation and ARIB Standard in Japan

  • PDF

Integration of 5-V CMOS and High-Voltage Devices for Display Driver Applications

  • Kim, Jung-Dae;Park, Mun-Yang;Kang, Jin-Yeong;Lee, Sang-Yong;Koo, Jin-Gun;Nam, Kee-Soo
    • ETRI Journal
    • /
    • v.20 no.1
    • /
    • pp.37-45
    • /
    • 1998
  • Reduced surface field lateral double-diffused MOS transistor for the driving circuits of plasma display panel and field emission display in the 120V region have been integrated for the first time into a low-voltage $1.2{\mu}m$ analog CMOS process using p-type bulk silicon. This method of integration provides an excellent way of achieving both high power and low voltage functions on the same chip; it reduces the number of mask layers double-diffused MOS transistor with a drift length of $6.0{\mu}m$ and a breakdown voltage greater than 150V was self-isolated to the low voltage CMOS ICs. The measured specific on-resistance of the lateral double-diffused MOS in $4.8m{\Omega}{\cdot}cm^2$ at a gate voltage of 5V.

  • PDF

Fabrication Process of Light Emitting Diodes Using CdSe/CdS/ZnS Quantum Dot

  • Cho, Nam Kwang;Kang, Seong Jun
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2013.02a
    • /
    • pp.428-428
    • /
    • 2013
  • Red color light emitting diodes were fabricated using CdSe/CdS/ZnS quantum dots (QDs). Patterned indium-tin-oxide (ITO) was used as a transparent anode, and oxygen plasma treatment on a surface of ITO was performed. Poly(3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS) was spin coated on the ITO surface as a hole injection layer. Then CdSe/CdS/ZnS QDs was spin coated and thermal treatment was performed for the cross-linking of QDs. TiO2 was coated on the QDs as an electron transport layer, and 150 nm of aluminum cathode was formed using thermal evaporator and shadow mask. The device shows a pure red color emission at 606 nm wavelength. Device characteristics will be presented in detail.

  • PDF

Performance Analysis of UE RF Transmitting for WCDMA System (WCDMA 시스템에서 단말기 RF 송신 성능 분석)

  • LEE Il-kyoo;Jung Young-joon;HAN Sang-Chul;OH Seung-hyeub
    • Proceedings of the IEEK Conference
    • /
    • 2003.11c
    • /
    • pp.293-296
    • /
    • 2003
  • This paper evaluates the RF transmitting parameters of User Equipment(UE) for W-CDMA system based on 3GPP specifications. The parameters of transmitter are derived from the aspect of RF. In order to keep UE in high performance, the transmitter requirements such as ACLR, EVM, Peak Code Domain Error, spectrum emission mask are considered. The UE transceiver is implemented on the basis of performance requirements and then tested for the analysis of RF transmitter characteristics through test scenarios.

  • PDF

Review on Performance Requirements, Design and Implementation of RF Transceiver for Mobile Communications

  • Lee, Il-Kyoo;Ryu, Seong-Ryeol;Oh, Seung-Hyeub;Hong, Heon-Jin
    • Information and Communications Magazine
    • /
    • v.24 no.3
    • /
    • pp.76-86
    • /
    • 2007
  • This paper describes the RF performance issues of UE RF Transceiver for W-CDMA system based on 3GPP specifications. the parameters of transmitter and receiver are derived from the viewpoint of RF performance. In order for UE to achieve high performance, the transceiver performance requirements such as ACIR, EVM, Peak Code Domain Error, spectrum emission mask, frequency error stability and TX power control dynamic range for transmitter and reference sensitivity level, blocking characteristics, noise figure, ACS, linearity, AGC dynamic range for receiver are considered. On the basis of the required parameters, the UE RF transceiver is designed and then implemented. The evaluation of RF performance is accomplished through practical test scenarios.

Aluminum Oxide Photonic Crystals Fabricated on Compound Semiconductor (화합물 반도체 기판 위에 제작된 산화 알루미늄 광결정 특성)

  • Choi, Jae-Ho;Kim, Keun-Joo;Jung, Mi;Woo, Duk-Ha
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.77-78
    • /
    • 2006
  • We fabricated photonic crystals on GaAs and GaN substrates. After anodizing the aluminium thin film in electrochemical embient, the porous alumina was implemented to the mask for reactive ion beam etching process of GaAs wafer. And photonic crystals in GaN wafer were also fabricated using electron beam nano-lithography process. The coated PMMA thin film with 200 nm-thickness on GaN surface was patterned with triangular lattice and etched out the GaN surface by the inductively coupled plasma source. The fabricated GaAs and GaN photonic crystals provide the enhanced intensities of light emission for the wavelengths of 858 and 450 nm, respectively. We will present the detailed dimensions of photonic crystals from SEM and AFM measurements.

  • PDF

Multi-Core Fiber Based Fiber Bragg Gratings for Ground Based Instruments

  • Min, Seong-Sik;Lindley, Emma;Leon-Saval, Sergio;Lawrence, Jon;Bland-Hawthorn, Joss
    • The Bulletin of The Korean Astronomical Society
    • /
    • v.40 no.1
    • /
    • pp.53.2-53.2
    • /
    • 2015
  • Fiber Bragg gratings (FBGs) are the most compact and reliable method of suppressing atmospheric emission lines in the infrared for ground-based telescopes. It has been proved that real FBGs based filters were able to eliminate 63 bright sky lines with minimal interline losses in 2011 (GNOSIS). Inscribing FBGs on multi-core fibers offers advantages. Compared to arrays of individual SMFs, the multi-core fiber Bragg grating (MCFBG) is greatly reduced in size, resistant to damage, simple to fabricate, and easy to taper into a photonics lantern (PRAXIS). Multi-mode fibers should be used and the number of modes has to be large enough to capture a sufficient amount of light from the telescope. However, the fiber Bragg gratings can only be inscribed in the single-mode fiber. A photonic lantern bi-directionally converts multi-mode to single-mode. The number of cores in MCFBGs corresponds to the mode. For a writing system consisting of a single ultra-violet (UV) laser and phase mask, the standard writing method is insufficient to produce uniform MCFBGs due to the spatial variations of the field at each core within the fiber. Most significant technical challenges are consequences of the side-on illumination of the fiber. Firstly, the fiber cladding acts as a cylindrical lens, narrowing the incident beam as it passes through the air-cladding interface. Consequently, cores receive reduced or zero illumination, while the focusing induces variations in the power at those that are exposed. The second effect is the shadowing of the furthest cores by the cores nearest to the light source. Due to a higher refractive index of cores than the cladding, diffraction occurs at each core-cladding interface as well as cores absorb the light. As a result, any core that is located directly behind another in the beam path is underexposed or exposed to a distorted interference pattern from what phase mask originally generates. Technologies are discussed to overcome the problems and recent experimental results are presented as well as simulation results.

  • PDF

Removal of Anodic Aluminum Oxide Barrier Layer on Silicon Substrate by Using Cl2 BCl3 Neutral Beam Etching

  • Kim, Chan-Gyu;Yeon, Je-Gwan;Min, Gyeong-Seok;O, Jong-Sik;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.480-480
    • /
    • 2011
  • 양극산화(anodization)는 금속을 전기화학적으로 산화시켜 금속산화물로 만드는 기술로서 최근 다양한 크기의 나노 구조를 제조하는 기술로 각광받고 있으며, 이러한 기술에 의하여 얻어지는 anodic aluminum oxide(AAO)는 magnetic data storage, optoelectronic device, sensor에 적용될 수 있는 nano device 뿐만 아니라 nanostructure를 제조하기 위한 template 및 mask로써 최근 광범위 하게 연구되고 있다. 또한, AAO는 Al2O3의 단단한 구조를 가진 무기재료이므로 solid mask로써 다른 porous materials 보다 뛰어난 특성을 갖고 있다. 또한 electron-beam lithography 및 block co-polymer 에 의한 patterning 과 비교하여 매우 경제적이며, 재현성이 우수할 뿐만 아니라 대면적에서 나노 구조의 크기 및 형상제어가 비교적 쉽기 때문에 널리 사용되고 있다. 그러나, AAO 형성 시 생기게 되는 반구형 모양의 barrier layer는 물질(substance)과 기판과의 direct physical and electrical contact을 방해하기 때문에 해결해야 할 가장 큰 문제점 중 하나로 알려져 있다. 따라서 본 연구에서는 실리콘 기판위의 형성된 AAO의 barrier layer를 Cl/BCl3 gas mixture에서 Neutral Beam Etching (NBE)과 Ion Beam Etching (IBE) 로 각각 식각한 후 그 결과와 비교하였다. NBE와 IBE 모두 Cl2/BCl3 gas mixture에서 BCl3 gas의 첨가량이 60% 일 경우 etch rate이 가장 높게 나타났고, optical emission spectroscopy (OES)로 Cl2/BCl3 플라즈마 내의 Cl radical density와 X-ray photoelectron spectroscopy (XPS)로 AAO 표면 위를 관찰한 결과 휘발성 BOxCly의 형성이 AAO 식각에 크게 관여함을 확인 할 수 있었다. 또한, NBE와 IBE 실험한 다양한 Cl2/BCl3 gas mixture ratio 에서 AAO가 식각이 되지만, 이온빔의 경우 나노사이즈의 AAO pore의 charging에 의해 pore 아래쪽의 위치한 barrier layer를 어떤 식각조건에서도 제거하지 못하였다. 하지만, NBE에서는 BCl3-rich Cl2/BCl3 gas mixture인 식각조건에서 AAO pore에 휘발성 BOxCly를 형성하면서 barrier layer를 제거할 수 있었다.

  • PDF

The study of oxide etching characteristics using inductively coupled plasma for silica waveguide fabircation (실리카 도파로(Silica Waveguide) 제작을 위한 Inductively Coupled Plasma에 의한 산화막 식각특성 연구)

  • 박상호;권광호;정명영;최태구
    • Journal of the Korean Vacuum Society
    • /
    • v.6 no.3
    • /
    • pp.287-292
    • /
    • 1997
  • This study was tried to form the silica waveguide using high density plasma. Plasma characteristics have been investigated as a function of etch parameters using a single Langmuir probe and optical emission spectroscopy(OES). As etch parameters, $CF_4/CHF_3$ ratio, bias power, and source power were chosen as main variables. The oxide etch characteristics of inductively coupled plasma(ICP) dry etcher such as the etch rate, etch profile, and surface roughness were investigated s a function of etch parameters. On the basis of these results, the core pattern of the wave guide composed of $SiO_2-P_2O_5$ was formed. It was confirmed that the etch rate of $SiO_2-P_2O_5$ core layer was 380 nm/min and the aluminum selectivity to oxide, that is, mask layer was approximately 30:1. The SEM images showed vertical etched profiles and minimal loss of pattern width.

  • PDF

A Study on the Characteristics of ITO Thin Film for Top Emission OLED (Top Emission OLED를 위한 ITO 박막 특성에 대한 연구)

  • Kim, Dong-Sup;Shin, Sang-Hoon;Cho, Min-Joo;Choi, Dong-Hoon;Kim, Tae-Geun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.450-450
    • /
    • 2006
  • Organic light-emitting diodes (OLED) as pixels for flat panel displays are being actively pursued because of their relatively simple structure, high brightness, and self-emitting nature [1, 2]. The top-emitting diode structure is preferred because of their geometrical advantage allowing high pixel resolution [3]. To enhance the performance of TOLEDs, it is important to deposit transparent top cathode films, such as transparent conducting oxides (TCOs), which have high transparency as well as low resistance. In this work, we report on investigation of the characteristics of an indium tin oxide (ITO) cathode electrode, which was deposited on organic films by using a radio-frequency magnetron sputtering method, for use in top-emitting organic light emitting diodes (TOLED). The cathode electrode composed of a very thin layer of Mg-Ag and an overlaying ITO film. The Mg-Ag reduces the contact resistivity and plasma damage to the underlying organic layer during the ITO sputtering process. Transfer length method (TLM) patterns were defined by the standard shadow mask for measuring specific contact resistances. The spacing between the TLM pads varied from 30 to $75\;{\mu}m$. The electrical properties of ITO as a function of the deposition and annealing conditions were investigated. The surface roughness as a function of the plasma conditions was determined by Atomic Force Microscopes (AFM).

  • PDF