• Title/Summary/Keyword: dynamic frequency scaling

Search Result 84, Processing Time 0.027 seconds

Dynamic Power Management using Dynamic Frequency Scaling in Embedded System (임베디드 시스템에서 DFS 기법을 이용한 동적 전력 관리)

  • Kwon, Ki-Hyeon;Kim, Nam-Yong;Byun, Hyung-Gi
    • Journal of Digital Contents Society
    • /
    • v.10 no.2
    • /
    • pp.217-223
    • /
    • 2009
  • In order to decrease the power consumption in Embedded Linux environment based on XScale PXA255, We produce the device driver of DFS(Dynamic Frequency Scaling) technique, design and implement the middleware DFM(Dynamic Frequency Management) to scale the power of embedded target board with porting this device drive, suggest the method to reduce the Embedded system's power consumption.

  • PDF

Design of Low Power System using Dynamic Scaling (Dynamic Scaling을 이용한 저전력 시스템의 설계)

  • Kim, Do-Hun;Kim, Yang-Mo;Kim, Seung-Ho;Lee, Nam Ho
    • Proceedings of the KIEE Conference
    • /
    • 2002.11c
    • /
    • pp.282-285
    • /
    • 2002
  • In this paper, we designed of low power system by using dynamic scaling. As an effective low-power design, dynamic voltage/frequency scaling recently has received a lot of attention. In dynamic frequency scheme, all execution cycles are driven by the clock frequency that switched frequency dynamically at run time. The algorithm schedules lower frequency operators at earlier steps and higher frequency operators to later steps. This algorithm assigned the frequency for each execution cycle then it adjusted the voltage associated with the frequency.

  • PDF

A layer-wise frequency scaling for a neural processing unit

  • Chung, Jaehoon;Kim, HyunMi;Shin, Kyoungseon;Lyuh, Chun-Gi;Cho, Yong Cheol Peter;Han, Jinho;Kwon, Youngsu;Gong, Young-Ho;Chung, Sung Woo
    • ETRI Journal
    • /
    • v.44 no.5
    • /
    • pp.849-858
    • /
    • 2022
  • Dynamic voltage frequency scaling (DVFS) has been widely adopted for runtime power management of various processing units. In the case of neural processing units (NPUs), power management of neural network applications is required to adjust the frequency and voltage every layer to consider the power behavior and performance of each layer. Unfortunately, DVFS is inappropriate for layer-wise run-time power management of NPUs due to the long latency of voltage scaling compared with each layer execution time. Because the frequency scaling is fast enough to keep up with each layer, we propose a layerwise dynamic frequency scaling (DFS) technique for an NPU. Our proposed DFS exploits the highest frequency under the power limit of an NPU for each layer. To determine the highest allowable frequency, we build a power model to predict the power consumption of an NPU based on a real measurement on the fabricated NPU. Our evaluation results show that our proposed DFS improves frame per second (FPS) by 33% and saves energy by 14% on average, compared with DVFS.

Limiting CPU Frequency Scaling Considering Main Memory Accesses (주메모리 접근을 고려한 CPU 주파수 조정 제한)

  • Park, Moonju
    • KIISE Transactions on Computing Practices
    • /
    • v.20 no.9
    • /
    • pp.483-491
    • /
    • 2014
  • Contemporary computer systems exploits DVFS (Dynamic Voltage/Frequency Scaling) technology for balancing performance and power consumption. The efficiency of DVFS depends on how much performance we get for larger power consumption due to elevated CPU frequency. Especially for memory-bounded applications, higher CPU frequency often does not result in higher performance. In this paper, we present an upper bound of CPU frequency scaling based on memory accesses. It is observed that the performance gain due to higher CPU frequency is limited by memory accesses (last level cache misses) per instructions by experiments. Using the results, we present the CPU frequency upper bound with little performance gain. Experimental results show that for a memory-bounded application, applying the frequency upper bound enhances the energy efficiency of the application by above 30%.

A Dynamic Frequency Controlling Technique for Power Management in Existing Commercial Microcontrollers

  • Lueangvilai, Attakorn;Robertson, Christina;Martinez, Christopher J.
    • Journal of Computing Science and Engineering
    • /
    • v.6 no.2
    • /
    • pp.79-88
    • /
    • 2012
  • Power continues to be a driving force in central processing units (CPU) design. Most of the advanced breakthroughs in power have been in a realm that is applicable to workstation CPUs. Advanced power management systems will manage temperature, dynamic voltage scaling and dynamic frequency scaling in a CPU. The use of power management systems for microcontrollers and embedded CPUs has been modest, and mostly focuses on very large scale integration (VLSI) level optimizations compared to system level optimizations. In this paper, a dynamic frequency controlling (DFC) technique is introduced, to lay the foundation of a system level power management system for commercial microcontrollers. The DFC technique allows a commercial microcontroller to have minor modifications on both the hardware and software side, to allow the clock frequency to change to save power; results in this study show a 10% savings. By adding an additional layer of software abstraction at the interrupt level, the microcontroller can operate without having knowledge of the current clock frequency, and this can be accomplished without having to use an embedded operating system.

An Efficient Scheduling Method based on Dynamic Voltage Scaling for Multiprocessor System (멀티프로세서 시스템을 위한 동적 전압 조절 기반의 효율적인 스케줄링 기법)

  • Noh, Kyung-Woo;Park, Chang-Woo;Kim, Seok-Yoon
    • The Transactions of The Korean Institute of Electrical Engineers
    • /
    • v.57 no.3
    • /
    • pp.421-428
    • /
    • 2008
  • The DVS(Dynamic Voltage Scaling) technique is the method to reduce the dynamic energy consumption. As using slack times, it extends the execution time of the big load operations by changing the frequency and the voltage of variable voltage processors. Researches, that controlling the energy consumption of the processors and the data transmission among processors by controlling the bandwidth to reduce the energy consumption of the entire system, have been going on. Since operations in multiprocessor systems have the data dependency between processors, however, the DVS techniques devised for single processors are not suitable to improve the energy efficiency of multiprocessor systems. We propose the new scheduling algorithm based on DVS for increasing energy efficiency of multiprocessor systems. The proposed DVS algorithm can improve the energy efficiency of the entire system because it controls frequency and voltages having the data dependency among processors.

Evaluating Power Consumption and Real-time Performance of Android CPU Governors (안드로이드 CPU 거버너의 전력 소비 및 실시간 성능 평가)

  • Tak, Sungwoo
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.20 no.12
    • /
    • pp.2401-2409
    • /
    • 2016
  • Android CPU governors exploit the DVFS (Dynamic Voltage Frequency Scaling) technique. The DVFS is a power management technique where the CPU operating frequency is decreased to allow a corresponding reduction in the CPU supply voltage. The power consumed by a CPU is approximately proportional to the square of the CPU supply voltage. Therefore, lower CPU operating frequency allows the CPU supply voltage to be lowered. This helps to reduce the CPU power consumption. However, lower CPU operating frequency increases a task's execution time. Such an increase in the task's execution time makes the task's response time longer and makes the task's deadline miss occur. This finally leads to degrading the quality of service provided by the task. In this paper, we evaluated the performance of Android CPU governors in terms of the power consumption, tasks's response time and deadline miss ratio.

Dynamic Voltage Scaling based on Workload of Application for Embedded Processor (응용프로그램의 작업량을 고려한 임베디드 프로세서의 동적 전압 조절)

  • Wang, Hong-Moon;Kim, Jong-Tae
    • Journal of the Korean Institute of Illuminating and Electrical Installation Engineers
    • /
    • v.22 no.4
    • /
    • pp.93-99
    • /
    • 2008
  • Portable devices generally have limited energy sources, so there is a need to minimize the power consumption of processor using energy conservation methods. One of the most common energy conservation methods is dynamic voltage scaling (DVS). In this paper, we propose a new DVS algorithm which uses workload of application to determine frequency and voltage of processors. The posed DVS algorithm consists of DVS module in kernel and specified function in application. The DVS module monitors the processor utilization and changes frequency and voltage periodically. The other part monitors workload of application. With these two procedures, the processor can change the performance level to meet their deadline while consuming less energy. We implemented the proposed DVS algorithm on PXA270 processor with Linux 2.6 kernel.

DVFS Algorithm Exploiting Correlation in Runtime Distribution

  • Kim, Jung-Soo;Yoo, Sung-Joo;Kyung, Chong-Min
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.9 no.2
    • /
    • pp.80-84
    • /
    • 2009
  • Dynamic voltage and frequency scaling (DVFS) is an effective method to achieve low power design. In our work, we present an analytical DVFS method which judiciously exploits correlation information in runtime distribution while satisfying deadline constraints. The proposed method overcomes the previous distribution-aware DVFS method [2] which has pessimistic assumption on which runtime distributions are independent. Experimental results show the correlation-aware DVFS offers 13.3% energy reduction compared to existing distribution-aware DVFS [2].

Maximization of Dynamic Range in Wave Digital Filter (웨이브 디지탈 필터의 동적범위 최대화)

  • 권희훈;김명기
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.12 no.4
    • /
    • pp.373-385
    • /
    • 1987
  • The scaled WDF should be optimized from the point of view of dynamic range. Transformer scaling method is attempted to maximize the dynamic range by equalizing the gains in the different nodes of the WDF. In this paper, the dual network and different frequencies are used to study the effects of different topology and of sampling frequency. Comparing with the unscaled WDF, the most significant observation is that the SNR is improved in the range of 7 to 35 dB in the WDF scaled by the trasnsformer scaling method. In addition, the SNR shows a continuous drop with the increase of sampling frequency, but scaling effects seem to decrease the rate at which the SNR drop.

  • PDF