• Title/Summary/Keyword: digital doorlock

Search Result 17, Processing Time 0.026 seconds

Performance of hybrid modulation for digital IoT doorlock system with color grid (컬러그리드기반 디지털 IoT 도어락 시스템을 위한 혼합변조의 성능)

  • Lee, Sun-Yui;Sun, Young-Ghyu;Sim, Issac;Hwang, Yu-Min;Yoon, Sung-Hoon;Cha, Jae-Sang;Kim, Jin-Young
    • The Journal of the Institute of Internet, Broadcasting and Communication
    • /
    • v.18 no.6
    • /
    • pp.91-97
    • /
    • 2018
  • This paper presents implementation possibilities of digital IoT doorlock systems via VLC(Visible Light Communication)'s color grid. The color grid-based VLC modulation scheme which are discussed in this paper utilize the straightness of light and abundant frequency resources which are the properties of the light. Performance results in this paper are compared to that of conventional modulations with Bit Error Rate (BER) and Signal to Noise Ratio (SNR) simulations. With respect to a channel model, the proposed modulation schemes select the nearest Line Of Sight (LOS) except Non Line Of Sight (NLOS). Experiments in this paper show error rates of received symbols by changing power dB at a distance of 3m between Tx and Rx in an indoor environment. Through performance results and experiments, this paper demonstrates superiority of the proposed color grid-based modulation schemes.

Design of Context-awareness Smart Digital DoorLock based on Open Source Hardware (오픈 소스 하드웨어 기반의 상황인식 스마트 디지털 도어락 설계)

  • Lee, Se-Hoon;Lee, Byeong-Gi;Lee, Soon-Chan;Lee, Deung-Hoon
    • Proceedings of the Korean Society of Computer Information Conference
    • /
    • 2014.07a
    • /
    • pp.5-8
    • /
    • 2014
  • 본 논문에서는 오픈 소스 하드웨어를 이용한 상황인식 스마트 디지털 도어락 시스템을 제안한다. 이 시스템은 오픈 소스 하드웨어를 이용하여 효율적으로 개발기간을 단축하고, 상황인식 센서를 이용하여 사용자에게 편리성을 제공하는 것에 목적을 두고 있다. 이 시스템은 사물인터넷(IoT) 기술을 이용하여 사용자의 스마트 폰을 인식하고, 센서를 이용해 사용자가 문을 열려고 하는 행동 인식한다. 위 두 가지의 요건이 충족된다면, 사용자가 도어락에 별다른 인증 절차를 거치지 않고도 출입이 가능하며, 이러한 인증 절차의 간소화로 인해 편리성은 증대 되고, 보안성도 보다 효과적이다.

  • PDF

Video Digital Doorlock System for Recognition and Transmission of Accessing Object (접근객체 인식 및 전송을 위한 영상 디지털 도어락 시스템)

  • Lee, Sang-Rack;Park, Jin-Tae;Woo, Byeong-Hyeon;Choi, Han-Go
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2014.04a
    • /
    • pp.20-23
    • /
    • 2014
  • 기존 사용되고 있는 디지털 도어락은 사용자의 편의에 중점을 두어 보안 측면에서는 매우 취약한 단점이 있다. 이에 본 논문은 카메라와 센서, 통신 모듈이 결합된 디지털 도어락과 중계기, 서버를 시스템화하여 도어락 설치장소 전방에서 접근하는 객체를 감지 및 인식하고 이미지와 문 열림 정보를 사용자의 스마트 디바이스로 전송해 주는 영상 디지털 도어락 시스템을 제안하고자 한다. 제안한 시스템은 실험 결과 대상거리 내에서 96~98%의 접근자 인식률과 사용 통신망에 따라 평균 약 17.1~23.9초의 전송시간이 소요되었다. 따라서 도어락 시스템 전방의 상황을 모니터링하여 실시간 보안 대응이 가능할 것으로 판단된다.

A Digital Door Lock System Using Time- Synchronous One Time Password (시간 동기 방식의 OTP를 이용한 디지털 도어락 시스템)

  • Hwang, Hyung-Jin;Kim, Kweon-Yang;Ha, Il-Kyu
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.21 no.5
    • /
    • pp.1027-1034
    • /
    • 2017
  • Recently, OTP (One-time-Password) log-in methods have been used in many areas to prevent leakage of personal information and enhance security. The OTP method is primarily used for security of bank personal account, this is one of the sophisticated security ways in which one time password is generated and checked to enhance security. Digital door locks frequently used in everyday life require convenience and safety simultaneously. Meanwhile, related technologies for digital door locks are evolving, but methods for enhancement of security are still unsatisfactory. Generally, the digital door lock using password input type has been most commonly used and especially it provides more convenience, but it has some problems such as password exposure and password oblivion. Therefore, in this study, we propose and implement the OTP-based digital door lock system with enhanced security and convenience features but without the risk of password exposure and oblivion.

Implementation of a Single Human Detection Algorithm for Video Digital Door Lock (영상디지털도어록용 단일 사람 검출 알고리즘 구현)

  • Shin, Seung-Hwan;Lee, Sang-Rak;Choi, Han-Go
    • The KIPS Transactions:PartB
    • /
    • v.19B no.2
    • /
    • pp.127-134
    • /
    • 2012
  • Video digital door lock(VDDL) system detects people who access to the door and acquires the human image. Design considerations is that current consumption must be minimized by applying fast human detection algorithm because of battery-based operation. Since the digital door lock takes an image through a fixed camera, detection of a person based on background image leads to high degree of reliability. This paper deals with a single human detection algorithm suitable for VDDL with fulfilling these requirements such that it detects a moving object in an image, then identifies whether the object is a person or not using image processing. The proposed image processing algorithm consists of two steps: Firstly, it detects the human image region using both background image and skin color information. Secondly, it identifies the person using polar histogram based on proportional information of human body. Proposed algorithm is implemented in VDDL and is verified the performance through experiments.

Design and Implementation of an OpenCV-based Digital Doorlock (OpenCV기반 디지털 도어락 시스템의 설계 및 구현)

  • Park, Sang-Young;Kang, Hwa-Young;Lee, Kang-Hee
    • Proceedings of the Korean Society of Computer Information Conference
    • /
    • 2019.07a
    • /
    • pp.321-324
    • /
    • 2019
  • 최근 국내에는 실업률 상승, 혼인률 하락 등 청년층 생애주기 변화, 단독거주, 고령층의 증가에 따라 1인 가구가 빠른 속도로 증가하고 있다. 이러한 추세는 지속될 것으로 예상되어 1인 가구를 겨냥한 맞춤형 보안솔루션에 대한 관심이 고조되고 있다. 본 논문에서는 사물 인터넷 기술을 적극적으로 접목할 수 있을 것으로 기대되는 디지털 도어락의 구현에 관한 연구를 수행하였다. 사물 인터넷 기술은 5G 시대의 도래에 따라 다시금 주목받고 있다. 이는 4차 산업혁명 시대의 핵심 기반 기술로 주요 IT 기업들이 상용화 기술 확보를 추진하고 있는 상황이다. 한편 디지털 도어락은 열쇠가 필요하지 않으며 위급상황이나 안전상황에 클릭 한번으로 출동 요원의 출동을 곧바로 요청할 수 있어 고객에게 편의성과 보안성을 제공한다. 하지만 비밀번호 방식의 디지털 도어락은 주기적으로 비밀번호를 교체해주지 않는 이상 지속적으로 같은 자리의 버튼만을 누르게 된다. 이렇게 되면 해당 위치에 지문이 남아서 비밀번호가 노출될 위험이 있다. 그러나 사물 인터넷 기술을 이용한 디지털 도어락을 사용하게 된다면 안전한 도어락 사용으로 주거 보안을 실현할 수 있다. 따라서 1인 가구를 노리는 범죄를 예방하기 위해 라즈베리 파이와 아두이노의 UART 통신, 머신러닝 CV를 이용하여 얼굴 인식으로 동일인임을 판단하는 디지털 도어락을 구현했다.

  • PDF

Performance of pilot-based signal detection for digital IoT doorlock system (디지털 도어락 시스템을 위한 파일럿 기반 신호검출 성능)

  • Lee, Sun Yui;Hwang, Yu Min;Sun, Young Ghyu;Yoon, Sung Hoon;Kim, Jin Young
    • Journal of IKEEE
    • /
    • v.22 no.3
    • /
    • pp.723-728
    • /
    • 2018
  • This paper proposes a signal detection method for IoT door lock system which is a new application field of VLC (Visible Light Communication). This paper describes the signal detection technique for user recognition that needs to be overcome in order to apply VLC to door lock system which has a demand for new technology due to security issue. This system has security and high signal detection characteristics because it uses existing infrastructure to communicate with visible light. In order to detect the signal using FFT, the signal of the user who accesses the authentication channel based on the pilot signal is detected, and the performance of the false alarm probability and detection probability is shown in the channel model.