• 제목/요약/키워드: branch

검색결과 6,844건 처리시간 0.039초

상엽수량에 영향하는 상수 제형질의 직접효과와 간접효과 (Correlations and Path-coefficient Analysis of Some Characters of Mulberry Trees)

  • 장관열;한경수;민병열
    • 한국잠사곤충학회지
    • /
    • 12호
    • /
    • pp.13-16
    • /
    • 1970
  • 상수각형질의 상엽수량에 미치는 직접효과와 간접효과를 구명하기 위하여 우리나라 중요상수품종을 재료로 상수의 8형질 상호간의 상관관계를 보고 이들 형질과 수량과의 직접효과를 본바 그 결과를 요약하면 다음과 같다. 1, 각형질 상호간의 표현형상관과 유전상관을 계산하여 본바 제표와 같고 대체로 표현형상관보다 유전상관의 값이 높고 지조직경과 제형질간의 상관의 정도는 비교적 낮으나 기타형질 상호간에 있어서는 높은 상관을 보였다. 수량과 엽종과 다른 형질과의 상관을 보면 지조직경 이외의 지조장, 전간수, 주당지수 지총중, 고지조중, 신초엽중간에는 어느경우에 있어서도 수량과 높은 유전상관을 보였다. 2. 수량에 미치는 각형질의 직접영향 엽중 즉 수량(y)과 제형질과의 직접적영향을 본바 제1도와 같이 수량에는 지총중, 절간수, 고지조중의 순서로 수량에 직접 영향하며 기타형질간에는 부의 관계를 나타내었다. 3. 수량에 미치는 각형질의 간접영향 수량에 지형질이 간형적으로 어떻게 영향하는가를 알기 위하여 직접효과와 간접효과를 분할하여 본즉 제2도에서 보는 바와 같다. 지조장이 기어지면 절간수가 증가하고 지조장이 길수록 지총중이 증가되어 결과적으로 수량에 영향한다. 지조직접은 절간수와 지총중에, 주당지수도 절간수와 지총중에, 고지조중도 지총중과 절간수의 증가에 의하여 증가되어 간접적으로 수량의 증가에 영향한다는 것을 알 수 있었다.

  • PDF

연안 연승어구에 있어서 아릿줄의 굵기와 길이가 조획에 미치는 영향 (The effect of hooking on thickness and length of branch line in fishing gear of long line at the coastal waters)

  • 양진성;김석종
    • 수산해양기술연구
    • /
    • 제48권1호
    • /
    • pp.51-58
    • /
    • 2012
  • As a basic study to improve hooking ability of long line fishing gear, which is widely used around Jeju-do coast, the researcher performed hooking experiment of parrot fish by manufacturing and installing 7 kinds of model long line fishing gears, whose thickness of branch line are different and 8 kinds of model long line fishing gear, whose length of branch line are different, in indoor circular aquarium, which is installed for the model experiment of thickness and length of branch line that are various by fishing implement and improper. The hooking rate depending on thickness and length of branch line was calculated and the effect of thickness and length of branch line on hooking rate was analyzed. Its results are as follows. When branch line was thin and long, high hooking rate appeared. In the scope of value setting, the relationship between thickness ($B_t$) of branch line and total hooking rate ($Th_r$) can be shown as following formula as. In the scope of value setting, the relationship between length ($B_t$) of branch line and total hooking rate ($B_t$) can be shown as $Th_r=-20.83B_t+26.04$. Through Pearson correlation analysis, the coefficient of correlation between thickness of branch line and hooking rate was -0.718. Therefore it showed significance in 0.01 significance level. Through Pearson correlation analysis, the coefficient of correlation between length of branch line and hooking rate was 0.431. Therefore it showed significance in 0.01 significance level.

한국전통마을에 있어서 갈림길의 유형과 형태에 관한 연구 (A Study of Types and Forms of Branch-road in Traditional Korean Villages)

    • 한국조경학회지
    • /
    • 제27권1호
    • /
    • pp.101-109
    • /
    • 1999
  • The purpose of this study is to analyse types and forms of branch-road in traditional korean villages. To do this, this study has selected and measured 87 branch-road cases of five traditional villages. An analysis of the case study has revealed the following results : 1. Branch-roads have four formations : 3 way, 4 way, multi-way and others. 2. Branch-roads comprise 64 percent of 3 way, 18 percent of 4 way, 8 percent of multi-way and 9 percent of others, respectively. 3. Topographic conditions have mostly affected the formation of branch-roads. 3 way of branch - roads are easily found in flat village. 4 way are multi-way of branch-road are found in semi-hilly and hilly village 4. 3 way of branch-roads have three types: T-type, Y-type, and y-type. 5. T-types are easily found in flat village, but Y-type and y-type are found in semi-hilly and hilly village. 6. Each angle of T-type is 171,99,90, respectively. It has turned out 8 degree is slightly deviated form the square. 7. Y-type of branch-road has an asymmetric form, comprising 145, 128, 87 degree of the angle, compared to 150, 150, 60 degree of symmetric one. 8. Average angles of y-type that are mostly found in hilly village are 175, 113, 72. Angle differences from each spot are 62 and 41 degree. It is assumed that 39 degree is a slope of least effort to walk. 9. 4 way of branch-roads have not only "+" type but various types of K, Y and Y, Y and y, T and y and a foot of bird. 10. It is assumed that multi-way of branch-roads, mostly found on the middle and bottom of the hilly slope, has naturally formed to connect up and down, left and right.and right.

  • PDF

분기관을 가진 연소 챔버 내 압력변동 특성에 관한 실험적 연구 (Experimental Study on the Characteristics of Pressure Fluctuation in the Combustion Chamber with Branch Tube)

  • 박장희;이대근;신현동
    • 대한기계학회논문집B
    • /
    • 제33권7호
    • /
    • pp.552-558
    • /
    • 2009
  • An experimental study using the combustor with branch tube was conducted in order to model the industry combustor with FGR (flue gas recirculation) system and to study a thermo-acoustic instability generated by a branch tube. The branch tube is a structure used to modify a system geometry and then to change its pressure field, and the thermo-acoustic instability, usually occurs in a confined geometry, can result in serious problems on industrial combustors. Thus understanding of the instability created by modifying geometry of combustor is necessary to design and operate combustor with FGR system. Pressure fluctuation in the combustion chamber was observed according to diameter and length of branch and it was compared with the solution of 1-D wave equation. It was found that branch tube affects the pressure field in the combustion chamber, and the pressure fluctuation in the combustion chamber was reduced to almost zero when phase difference between an incipient wave in the combustion chamber and a reflected wave in the branch tube is $\pi$ at the branch point. Also, the reduction of pressure fluctuation is irrespective of the installed height of branch tube if it is below $h^*=0.9$ in the close-open tube and open-open tube.

농업정보기술을 위한 ILP 프로세서에서 새로운 복구 메커니즘 적용 분기예측기 (A Branch Predictor with New Recovery Mechanism in ILP Processors for Agriculture Information Technology)

  • 고광현;조영일
    • Agribusiness and Information Management
    • /
    • 제1권2호
    • /
    • pp.43-60
    • /
    • 2009
  • To improve the performance of wide-issue superscalar processors, it is essential to increase the width of instruction fetch and the issue rate. Removal of control hazard has been put forward as a significant new source of instruction-level parallelism for superscalar processors and the conditional branch prediction is an important technique for improving processor performance. Branch mispredictions, however, waste a large number of cycles, inhibit out-of-order execution, and waste electric power on mis-speculated instructions. Hence, the branch predictor with higher accuracy is necessary for good processor performance. In global-history-based predictors like gshare and GAg, many mispredictions come from commit update of the branch history. Some works on this subject have discussed the need for speculative update of the history and recovery mechanisms for branch mispredictions. In this paper, we present a new mechanism for recovering the branch history after a misprediction. The proposed mechanism adds an age_counter to the original predictor and doubles the size of the branch history register. The age_counter counts the number of outstanding branches and uses it to recover the branch history register. Simulation results on the SimpleScalar 3.0/PISA tool set and the SPECINT95 benchmarks show that gshare and GAg with the proposed recovery mechanism improved the average prediction accuracy by 2.14% and 9.21%, respectively and the average IPC by 8.75% and 18.08%, respectively over the original predictor.

  • PDF

Two-Phase Flow Distribution and Phase Separation Through Both Horizontal and Vertical Branches

  • Tae, Sang-Jin;Keumnam Cho
    • Journal of Mechanical Science and Technology
    • /
    • 제17권8호
    • /
    • pp.1211-1218
    • /
    • 2003
  • The present study investigated two-phase flow distribution and phase separation of R-22 refrigerant through various types of branch tubes. The key experimental parameters were the orientation of inlet and branch tubes (horizontal and vertical), diameter ratio of branch tube to inlet tube (1 and 0.61), mass flux (200-500 kg/㎡s), and inlet quality (0.1-0.4). The predicted local pressure profile in the tube with junction was compared and generally agreed with the measured data. The local pressure profile within the pressure recovery region after the junction has to be carefully investigated for modeling the pressure drop through the branch. The equal flow distribution case can be found by adjusting the orientation of the inlet and branch tubes and the diameter ratio of the branch tube to the inlet tube. The T-junction with horizontal inlet and branch tubes showed the nearly equal phase distribution ratio. The quality at the branch tube varied from 0 to 1 as the orientation of the branch tube changed, while it varied within${\pm}$50% as the orientation of the inlet tube changed.

대구경 고압 T형 분기관의 지관 형상에 따른 내부 유동 영향성 해석 (A Study on Internal Flow Characteristics of T Branch using CFD Analysis)

  • 조철희;김명주;조석진;황수진
    • 한국수소및신에너지학회논문집
    • /
    • 제26권5호
    • /
    • pp.438-444
    • /
    • 2015
  • This study describes the effect of T branch shape on internal flow characteristics inside itself. Continuity and three-dimensional Reynolds-averaged Navier-Stokes equation have been used as governing equations for the numerical analysis. The T branch was modeled assuming that it is used for Alaska pipeline project which was planned to provide reliable transportation of natural gas from ANS to Alaska-Yukon border. Therefore the characteristics of T branch and operating condition of pipeline were from report of Alaska pipeline project. The nine T branch shapes were analyzed and the mass flow rate ratio between mainline and branch was assumed to be 0.95 : 0.05, 0.9 : 0.1, 0.85 : 0.15. The results shows that there are typical flow patterns in T branch and the shape of T branch makes some differences to the internal flow of branch rather than mainline.

T-분지관이 부착된 벤튜리관의 유동특성과 응축수 유입에 대한 수치해석 연구 (A numerical study on the flow characteristics and condensed water inflow in the Venturi tube with T-branch tube)

  • 김승일;박상희;황정규
    • 한국산업융합학회 논문집
    • /
    • 제22권2호
    • /
    • pp.173-181
    • /
    • 2019
  • This study was carried out numerically to investigate the flow characteristics in the Venturi tube with $90^{\circ}$ T-branch tube and the inflow of condensed water into the Venturi tube from the branch tube. In this study, the diameter of the branch tube(1, 2, 3mm) and the neck diameter of the Venturi tube(0.3, 0.9, 1.5mm) were varied. The flow rate of the water at the Venturi tube inlet is 80cc/min and the water temperature is 288K. The condensed water temperature at the branch tube inlet is 355K. It was found that the velocity and pressure of the fluid near the branch point in the Venturi tube were more dependent on the diameter of the Venturi tube than the diameter of the branch tube. The temperature of the mixed water at the exit of the Venturi tube was the highest when the Venturi tube's neck diameter is 0.9mm and the branch tube diameter is 2mm. This means that the condensed water is flowing well through the branch tube.

농림수산식품분야 정보처리를 위한 적응하는 분기히스토리 길이를 갖는 분기예측 메커니즘 (A Branch Prediction Mechanism With Adaptive Branch History Length for FAFF Information Processing)

  • 고광현;조영일
    • 현장농수산연구지
    • /
    • 제13권1호
    • /
    • pp.3-17
    • /
    • 2011
  • Pipelines of processor have been growing deeper and issue widths wider over the years. If this trend continues, branch misprediction penalty will become very high. Branch misprediction is the single most significant performance limiter for improving processor performance using deeper pipelining. Therefore, more accurate branch predictor becomes an essential part of modem processors for FAFF(Food, Agriculture, Forestry, Fisheries)Information Processing. In this paper, we propose a branch prediction mechanism, using variable length history, which predicts using a bank having higher prediction accuracy among predictions from five banks. Bank 0 is a bimodal predictor which is indexed with the 12 least significant bits of the branch PC. Banks 1,2,3 and 4 are predictors which are indexed with different global history bits and the branch PC. In simulation results, the proposed mechanism outperforms gshare predictors using fixed history length of 12 and 13, up to 6.34% in prediction accuracy. Furthermore, the proposed mechanism outperforms gshare predictors using best history lengths for benchmarks, up to 2.3% in prediction accuracy.