• Title/Summary/Keyword: Wafer planarization

Search Result 101, Processing Time 0.036 seconds

Development of Tungsten CMP (Chemical Mechanical Planarization) Slurry using New Abrasive Particle (새로운 연마입자를 이용한 텅스텐 슬러리 개발)

  • Yu, Young-Sam;Kang, Young-Jae;Kim, In-Kwon;Hong, Yi-Koan;Park, Jin-Goo;Jung, Seok-Jo;Byun, Jung-Hwan;Kim, Moon-Sung
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.06a
    • /
    • pp.571-572
    • /
    • 2006
  • Tungsten CMP needs interconnect of semiconductor device ULSI chip and metal plug formation, CMP technology is essential indispensable method for local planarization. This Slurry development also for tungsten CMP is important, slurry of metal wiring material that is used present is depending real condition abroad. It is target that this research makes slurry of efficiency that overmatch slurry that is such than existing because focus and use colloidal silica by abrasive particle to internal production technology development. Compared selectivity of slurry that is developed with competitor slurry using 8" tungsten wafer and 8" oxide wafer in this experiment. And removal rate measures about density change of $H_2O_2$ and Fe particle. Also, corrosion potential and current density measure about Fe ion and Fe particle. As a result, selectivity find 83:1, and expressed similar removal rate and corrosion potential and current density value comparing with competitor slurry.

  • PDF

Planarization technology of thick copper film structure for power supply (전력 소자용 후막 구리 구조물의 평탄화)

  • Joo, Suk-Bae;Jeong, Suk-Hoon;Lee, Hyun-Seop;Kim, Hyoung-Jae;Jeong, Hae-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.523-524
    • /
    • 2007
  • This paper discusses the planarization process of thick copper film structure used for power supply device. Chemical mechanical polishing(CMP) has been used to remove a metal film and obtain a surface planarization which is essential for the semiconductor devices. For the thick metal removal, however, the long process time and other problems such as dishing, delamination and metal layer peeling are being issued, Compared to the traditional CMP process, Electro-chemical mechanical planarization(ECMP) is suggested to solve these problems. The two-step process composed of the ECMP and the conventional CMP is used for this experiment. The first step is the removal of several tens ${\mu}m$ of bulk copper on patterned wafer with ECMP process. The second step is the removal of residual copper layer aimed at a surface planarization. For more objective comparison, the traditional CMP was also performed. As an experimental result, total process time and process defects are extremely reduced by the two-step process.

  • PDF

Analysis of Material Removal Rate Profile and Stress Distribution According to Retainer Pressure (CMP에서 리테이너링의 압력에 따른 연마율 프로파일과 응력 분포 해석)

  • Lee, Hyun-Seop;Lee, Sang-Jik;Jeong, Suk-Hoon;An, Joon-Ho;Jeong, Hea-Do
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.482-483
    • /
    • 2009
  • In chemical mechanical planarization (CMP) process, the uniformity of stress acting on wafer surface is a key factor for uniform material removal of thin film especially in the oxide CMP. In this paper, we analyze the stress on the contact region between wafer and pad with finite-element analysis (FEA). The setting pressure acting on wafer back side was $500g/cm^2$ and the retainer pressure was changed from 300 to $700g/cm^2$. The polishing test is also done with the same conditions. The material removal rate profiles well-matched with stress distribution.

  • PDF

Effect of Chemical Mechanical Cleaning(CMC) on Particle Removal in Post-Cu CMP Cleaning (구리 CMP 후 연마입자 제거에 화학 기계적 세정의 효과)

  • Kim, Young-Min;Cho, Han-Chul;Jeong, Hae-Do
    • Transactions of the Korean Society of Mechanical Engineers A
    • /
    • v.33 no.10
    • /
    • pp.1023-1028
    • /
    • 2009
  • Cleaning is required following CMP (chemical mechanical planarization) to remove particles. The minimization of particle residue is required with each successive technology generation, and the cleaning of wafers becomes more complicated. In copper damascene process for interconnection structure, it utilizes 2-step CMP consists of Cu and barrier CMP. Such a 2-steps CMP process leaves a lot of abrasive particles on the wafer surface, cleaning is required to remove abrasive particles. In this study, the chemical mechanical cleaning(CMC) is performed various conditions as a cleaning process. The CMC process combined mechanical cleaning by friction between a wafer and a pad and chemical cleaning by CMC solution consists of tetramethyl ammonium hydroxide (TMAH) / benzotriazole (BTA). This paper studies the removal of abrasive on the Cu wafer and the cleaning efficiency of CMC process.

Effect on protective coating of vacuum brazed CMP pad conditioner using in Cu-slurry (Cu 용 슬러리 환경에서의 보호성 코팅이 융착 CMP 패드 컨니셔너에 미치는 영향)

  • Song M.S.;Gee W.H.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.434-437
    • /
    • 2005
  • Chemical Mechanical Polishing (CMP) has become an essential step in the overall semiconductor wafer fabrication technology. In general, CMP is a surface planarization method in which a silicon wafer is rotated against a polishing pad in the presence of slurry under pressure. The polishing pad, generally a polyurethane-based material, consists of polymeric foam cell walls, which aid in removal of the reaction products at the wafer interface. It has been found that the material removal rate of any polishing pad decreases due to the so-called 'pad glazing' after several wafer lots have been processed. Therefore, the pad restoration and conditioning has become essential in CMP processes to keep the urethane polishing pad at the proper friction coefficient and to allow effective slurry transport to the wafer surface. Diamond pad conditioner employs a single layer of brazed bonded diamond crystals. Due to the corrosive nature of the polishing slurry required in low pH metal CMP such as copper, it is essential to minimize the possibility of chemical interaction between very low pH slurry (pH <2) and the bond alloy. In this paper, we report an exceptional protective coated conditioner for in-situ pad conditioning in low pH Cu CMP process. The protective Cr-coated conditioner has been tested in slurry with pH levels as low as 1.5 without bond degradation.

  • PDF

Mechanical Analysis on Uniformity in Copper Chemical Mechanical Planarization (Cu CMP에서의 연마 균일성에 관한 기계적 해석)

  • Lee, Hyun-Seop;Park, Boum-Young;Jeong, Hae-Do;Kim, Hyoung-Jae
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.20 no.1
    • /
    • pp.74-79
    • /
    • 2007
  • Most studies on copper Chemical Mechanical Planarization (CMP) have focused on material removal and its mechanisms. Although many studies have been conducted on the mechanism of Cu CMP, a study on uniformity in Cu CMP is still unknown. Since the aim of CMP is global and local planarization, the approach to various factors related to uniformity in Cu CMP is essential to elucidate the Cu CMP mechanism as well. The main purpose of the experiment reported here was to investigate and mechanically analyze the roles of slurry components in the formation of the uniformity in Cu CMP. In this paper, Cu CMP was performed using citric acid($C_{6}H_{8}O_{7}$), hydrogen peroxide($H_{2}O_{2}$), colloidal silica, and benzotriazole($BTA,\;C_{6}H_{4}N_{3}H$) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. All the results of this study showed that within-wafer non-uniformity(WIWNU) of Cu CMP could be controlled by the contents of slurry components.

Effect of Citric Acid in Cu Chemical Mechanical Planarization Slurry on Frictional Characteristics and Step Height Reduction of Cu Pattern

  • Lee, Hyunseop
    • Tribology and Lubricants
    • /
    • v.34 no.6
    • /
    • pp.226-234
    • /
    • 2018
  • Copper chemical mechanical planarization (CMP) has become a key process in integrated circuit (IC) technology. The results of copper CMP depend not only on the mechanical abrasion, but also on the slurry chemistry. The slurry used for Cu CMP is known to have greater chemical reactivity than mechanical material removal. The Cu CMP slurry is composed of abrasive particles, an oxidizing agent, a complexing agent, and a corrosion inhibitor. Citric acid can be used as the complexing agent in Cu CMP slurries, and is widely used for post-CMP cleaning. Although many studies have investigated the effect of citric acid on Cu CMP, no studies have yet been conducted on the interfacial friction characteristics and step height reduction in CMP patterns. In this study, the effect of citric acid on the friction characteristics and step height reduction in a copper wafer with varying pattern densities during CMP are investigated. The prepared slurry consists of citric acid ($C_6H_8O_7$), hydrogen peroxide ($H_2O_2$), and colloidal silica. The friction force is found to depend on the concentration of citric acid in the copper CMP slurry. The step heights of the patterns decrease rapidly with decreasing citric acid concentration in the copper CMP slurry. The step height of the copper pattern decreases more slowly in high-density regions than in low-density regions.

The Effect of Mechanical Properties of Polishing Pads on Oxide CMP ( Chemical Mechanical Planarization )

  • Hong, Yi-Koan;Eom, Dae-Hong;Kang, Young-Jae;Park, Jin-Goo;Kim, Jae-Suk;Kim, Geon;Lee, Ju-Yeol;Park, In-Ha
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.445-446
    • /
    • 2002
  • The purpose of this study was to investigate the effect of micro holes, pattern structure and elastic modulus of pads on the polishing behavior such as the removal rate and WIWNU (within wafer non-uniformity) during CMP. The regular holes on the pad act as the superior abrasive particle's reservoir and regular distributor at the bulk pad, respectively. The superior CMP performance was observed at the laser processed bulk pad with holes. Also, th ε groove pattern shape was very important for the effective polishing. Wave grooved pad showed higher removal rates than K-grooved pad. The removal rate was linearly increased as the top pad's elastic modulus increased.

  • PDF

Effect of shape and surface properties of hydrothermaled silica particles in chemical mechanical planarization of oxide film (실리카 입자의 형상과 표면 특성이 산화막 CMP에 미치는 영향)

  • Jeong, Jeong-Hwan;Lim, Hyung-Mi;Kim, Dae-Sung;Paik, Un-Gyu;Lee, Seung-Ho
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.161-161
    • /
    • 2008
  • The oxide film of silicon wafer has been mainly polished by fumed silica, colloidal silica or ceria slurry. Because colloidal silica slurry is uniform and highly dispersed composed of spherical shape particles, by which the oxide film polished remains to be less scratched in finishing polishing process. Even though the uniformity and spherical shape is advantage for reducing the scratch, it may also be the factor to decrease the removal rate. We have studied the correlation of silica abrasive particles and CMP characteristics by varying pH, down force, and table rotation rate in polishing. It was found that the CMP polishing is dependent on the morphology, aggregation, and the surface property of the silica particles.

  • PDF

Silicon/Pad Pressure Measurements During Chemical Mechanical Polishing

  • Danyluk, Steven;Ng, Gary;Yoon, In-Ho;Higgs, Fred;Zhou, Chun-Hong
    • Proceedings of the Korean Society of Tribologists and Lubrication Engineers Conference
    • /
    • 2002.10b
    • /
    • pp.433-434
    • /
    • 2002
  • Chemical mechanical polishing refers to a process by which silicon and partially-processed integrated circuits (IC's) built on silicon substrates are polished to produce planar surfaces for the continued manufacturing of IC's. Chemical mechanical polishing is done by pressing the silicon wafer, face down, onto a rotating platen that is covered by a rough polyurethane pad. During rotation, the pad is flooded with a slurry that contains nanoscale particles. The pad deforms and the roughness of the surface entrains the slurry into the interface. The asperities contact the wafer and the surface is polished in a three-body abrasion process. The contact of the wafer with the 'soft' pad produces a unique elastohydrodynamic situation in which a suction force is imposed at the interface. This added force is non-uniform and can be on the order of the applied pressure on the wafer. We have measured the magnitude and spatial distribution of this suction force. This force will be described within the context of a model of the sliding of hard surfaces on soft substrates.

  • PDF